FPGA simulator igre Gomoku

Velikost: px
Začni prikazovanje s strani:

Download "FPGA simulator igre Gomoku"

Transkripcija

1 Univerza v Ljubljani Fakulteta za računalništvo in informatiko Maks Peteh FPGA simulator igre Gomoku DIPLOMSKO DELO VISOKOŠOLSKI STROKOVNI ŠTUDIJSKI PROGRAM PRVE STOPNJE RAČUNALNIŠTVO IN INFORMATIKA Mentor: prof. dr. Branko Šter Ljubljana, 2016

2

3 Fakulteta za računalništvo in informatiko podpira javno dostopnost znanstvenih, strokovnih in razvojnih rezultatov. Zato priporoča objavo dela pod katero od licenc, ki omogočajo prosto razširjanje diplomskega dela in/ali možnost nadaljne proste uporabe dela. Ena izmed možnosti je izdaja diplomskega dela pod katero od Creative Commons licenc Morebitno pripadajočo programsko kodo praviloma objavite pod, denimo, licenco GNU General Public License, različica 3. Podrobnosti licence so dostopne na spletni strani Besedilo je oblikovano z urejevalnikom besedil L A TEX.

4

5 Fakulteta za računalništvo in informatiko izdaja naslednjo nalogo: Tematika naloge: Ker se pri Drevesnem preiskovanju Monte Carlo (Monte Carlo Tree Search) tipično izvaja veliko število naključnih simulacij, nas zanima, kako bi jih pohitrili s pomočjo strojnega izvajanja. Zapišite VHDL kodo za strojno implementacijo simulatorja za igro Gomoku. Ocenite, kolikšno pohitritev bi dobili, če vzamemo eno od pogosto uporabljanih programirljivih vezij FPGA.

6

7 Izjava o avtorstvu diplomskega dela Spodaj podpisani Maks Peteh, z vpisno številko , sem avtor diplomskega dela z naslovom: FPGA simulator igre Gomoku S svojim podpisom zagotavljam, da: sem diplomsko delo izdelal samostojno pod mentorstvom prof. dr. Branka Štera, so elektronska oblika diplomskega dela, naslov (slov., angl.), povzetek (slov., angl.) ter ključne besede (slov., angl.) identični s tiskano obliko diplomskega dela, soglašam z javno objavo elektronske oblike diplomskega dela na svetovnem spletu preko univerzitetnega spletnega arhiva. V Ljubljani, dne 25. februarja 2016 Podpis avtorja:

8

9 Zahvaljujem se staršem za podporo in vzpodbudo med študijem, pa tudi mentorju za pomoč in potrpežljivost.

10

11 Kazalo Povzetek Abstract 1 Uvod FPGA Artix Pojmi v simulatorju Gomoku LFSR Bločni pomnilnik VHDL Knjižnice RTL sheme Simulator igre Gomoku Komponenta Random Komponenta Ram Komponenta Adder Adder Adder Adder Komponenta Checker

12 4 Testiranje Test bench Primer igre Statistika iger Sklepne ugotovitve 23 Literatura 25

13 Seznam uporabljenih kratic kratica angleško Very high speed integrated circuit VHDL hardware description language MCTS Monte Carlo tree search FPGA Field-programmable gate array slovensko Opisni jezik za digitalna integrirana vezija Drevesno preiskovanje Monte Carlo Vrsta programljivih logičnih vezij RTL Register-transfer level Registrsko-prenosni nivo Linearno-pomikalni register LFSR Linear feedback shift register s povratno zanko

14

15 Povzetek Naslov: FPGA simulator igre Gomoku Drevesno preiskovanje Monte Carlo (Monte Carlo tree search, MCTS) je preiskovalna metoda, ki združuje natančnost drevesnega preiskovanja z naključnim vzorčenjem. Pri drevesno preiskovalni Monte Carlo metodi je treba izvesti veliko število simulacij v čim krajšem času. Ideja je hitro izvajanje velikega števila iger, ki bi na FPGA tekla hitreje kot na računalniškem procesorju. Cilj je razviti simulacijo igre Gomoku z igralcema, ki naključno izbirata poteze. V okviru diplomskega dela je bil razvit simulator, ki izbira poteze igralcev, jih zapiše v pomnilnik ter preveri zmagovalca. Za implementacijo je bil uporabljen jezik za opisovanje strojne opreme VHDL in XILINX urejevalnik, ter vmesnik za testiranje. Ključne besede: VHDL, Gomoku, Artix 7.

16

17 Abstract Title: Gomoku game FPGA simulator Monte Carlo tree search is a search method that combines the precision of tree search with random sampling. In the method Monte Carlo tree search is to be carried out a large number of simulations in the shortest possible time. The idea is to implement a large number of games that would FPGA run faster than on a computer processor. The aim was to develop a simulation game Gomoku with players who randomly choose moves. In the context of the thesis has been developed simulator that chooses pleyers moves which are written in the memory and verification of the winner. For the implementation was used the language to describe hardware, VHDL and XILINX editor and interface for testing. Keywords: VHDL, Gomoku, Artix 7.

18

19 Poglavje 1 Uvod Z razvojem računalništva se vse bolj razvija tudi umetna inteligenca. Ena od preiskovalnih metod je drevesno preiskovanje Monte Carlo. Monte-Carlo simulacije vsebujejo zaporedja naključnih akcij. Prvič so bile uporabljene v računalnikih Los Alamos leta Danes imajo Monte Carlo simulacije vpliv na mnogih področjih, v kemiji, biologiji, ekonomiji, financah, itd. Drevesno-iskalni problemi so problemi, ki se lahko obdelujejo s postopno širitvijo v drevesno strukturo, na primer v iskanju poti in šahu. Drevesno preiskovanje Monte Carlo je splošni algoritem, ki se lahko uporablja za reševanje različnih problemov. Najbolj obetavni rezultati so pri igranju igre Go, kjer je bil daleč najbolj uspešen iskalni algoritem[3]. Cilj diplomske naloge je razviti simulator na FPGA, ki izvaja igro Gomoku, za dva igralca z naključnimi potezami. Vzporedno želimo preveriti, če igra teče hitreje kot na računalniku. Ideja je bila, da se namesto preverjanja zmagovalca v zanki, preverja zmagovalca vzporedno preko seštevalnikov. Igralca izbirata poteze izmenično, dokler ne dobimo zmagovalca, ali pa je izid neodločen. V naslednjih poglavjih si bomo pogledali komponente simulatorja in tehnologije, ki so bile uporabljene. V prvem poglavju je predstavljen jezik VHDL, 1

20 2 POGLAVJE 1. UVOD ki je bil osnova za pisanje naloge, opisana je igra Gomoku in na kratko predstavljen njen potek. Za potek igre je bil potreben generator naključnih števil, uporabljen je bil LFSR. Pregledali bomo uporabljene knjižnice za definicijo signalov v komponentah in pomnilnika, kjer so shranjene poteze igralcev. Nato so predstavljene komponente krmilnika. Komponenta Ram služi hranjenju potez igralcev in seštevanju polj. Poleg tega komponenta pomaga pri določanju zmagovalca in preverja, če je igra končana. Komponenta Random določa naključne naslove s pomočjo LFSR, katere pošlje v Ram. V poglavju Testiranje si bomo pogledali primer testne datoteke in statistiko iger. 1.1 FPGA Programabilne logične tehnologije, kot so FPGA, so osnovne komponente vsakega modernega načrtovalca vezij. Zaradi njihove razširitvene možnosti, ki so enolično prilagojene širokemu delu aplikacij, so FPGA idealni za rešitve mnogih problemov v hitro razvijajočem se tehnološkem sektorju. Glavne značilnosti programabilnih vezij so prilagodljivost, cenovna ugodnost in hitrejša izvedba zaradi paralelizma strojne opreme. Na trgu imamo različne ponudbe FPGA. Najbolj popularne so Artix-7, Kintex-7, Spartan-6,... V našem primeru smo izbrali čip XC7A100T, ki je del serije Artix Artix 7 Naprave Artix-7 zagotavljajo najnižjo porabo in ceno pri 28nm tehnologiji. Optimizirani so tako, da nudijo najboljše delovanje v nizko-cenovni družini FPGA. Naprava Artix-7 je cenovno najugodnejša za aplikacije, pri katerih je pomembna nizka poraba. Vključuje programsko opredeljen radio, kamero s strojnim vidom in sistem brezžičnega prenosa[1].

21 Poglavje 2 Pojmi v simulatorju 2.1 Gomoku Gomoku je družabna igra, imenovana tudi Omok ali 5 v vrsto. Gomoku igrata 2 igralca na polju velikosti 15 x 15. Igralec postavlja svoje figure na prazna mesta tako, da poskuša postaviti 5 enakih figur v vrsto. Ko figuro postavi, je ne sme premakniti. Primer igre je prikazan na sliki 2.1. V našem primeru smo vzeli polje 7 x 7 zaradi lažjega preverjanja zmagovalca igre. Slika 2.1: Primer igre Gomoku 3

22 4 POGLAVJE 2. POJMI V SIMULATORJU 2.2 LFSR Linearno-pomikalni register s povratno zanko (Linear feedback shift register, LFSR) je pomikalni register, pri katerem je vhod linearna funkcija XOR prejšnjega stanja. Delovanje opišemo z značilnim polinomom po modulu 2, vendar kot koeficienta nastopata le 0 in 1. LFSR je bil uporabljen za generiranje naključnih števil. 2.3 Bločni pomnilnik Je pomnilnik, ki vsebuje več elementov enakega tipa. V našem primeru je pisanje sinhrono in branje asinhrono, ker potrebujemo več podatkov naenkrat. V simulatorju se pomnilnik uporablja za hranjenje potez igralcev. Definiran je z velikostjo naslova ter tipa podatkov, ki so shranjeni v njem. 2.4 VHDL VHDL je kratica, ki pomeni standard za opisovanje, modeliranje in sintezo digitalnih vezij in sistemov. Vsak opis je sestavljen iz treh delov. Prvi del so knjižnice, v katerih so definirani podatkovni tipi, funkcije, itd. Drugi del so entitete, ki vsebujejo definicijo prilkjučkov vezij. Tretji del pa so arhitekture, ki opisujejo delovanje vezja na tri načine. Prvi način je vzporedno, kar pomeni, da se stavki izvajajo paralelno. Drugi je zaporedno, kjer stavki se izvajajo zaporedno v procesih. Tretji pa je modularno, kjer uporabimo vnaprej sprogramirane komponente. Preslikava med priključki v VHDL kodi in fizičnimi priključki FPGA je opisana v UCF datoteki[5].

23 2.5. KNJIŽNICE Knjižnice Standard IEEE 1164 definira enote, ki vsebujejo deklaracijo in podpirajo enotno predstavitev logične vrednosti v VHDL opisu. Sponzorirana je bila s strani Design Automation Standards Committee od Institute of Electrical and Electronics Engineers. Standardizacija je bila osnovana na tipu deklaracije Synopsys MVL-9. V okviru diplomske naloge smo uporabili standardne knjižnice, ki so definirane v IEEE standardu. Std logic 1164 definira standard za opisovanje povezavnih podatkovnih tipov v VHDL. Tako kot Std logic 1164, rabimo tudi std logic unsigned, ki je razširitev std logic arith, za delo s pozitivnimi števili[2]. 2.6 RTL sheme V načrtovanju digitalnih vezij je RTL abstrakcija načrta, ki ponazarja sinhrono digitalno vezje v smislu pretoka signala med hardverskimi registri in logičnimi operatorji, ki so izvedeni nad temi signali, kot je prikazano na sliki 2.2. RTL abstrakcija je uporabljena v opisnih jezikih strojne opreme, kot sta Verilog in VHDL. V shemi je prikazano vezje na višji ravni, iz katerega se lahko predstavi nižjo raven in na koncu dejansko ožičenje[4].

24 6 POGLAVJE 2. POJMI V SIMULATORJU Slika 2.2: Primer sheme RTL

25 Poglavje 3 Simulator igre Gomoku Igra poteka v določenem zaporedju, po katerem so komponente razdeljene. Simulacijo začnemo z izbiro naključnega števila v komponenti Random, katero pošlje v komponento Ram, kjer se zapiše v pomnilnik. Iz pomnilnika se števila prenesejo v komponente Adder. Po tem ko seštejemo vektorje v pomnilniku, se seštevki pošljejo v komponento Checker. Simulacija se izvaja v istem zaporedju, dokler ne dobimo zmagovalca, ali pa je polje polno. 3.1 Komponenta Random Komponenta Random služi izbiranju naključnih naslovov v pomnilniku, kamor igralec zapiše svojo potezo. Naslov se izbere s pomočjo pomikalnega registra. Čeprav rabimo za naslov le 6 bitov, je pomikalni register 31 biten zaradi večje periode. Te bite dobimo s pomočjo funkcije XNOR, ki je negacija funkcije XOR. XNOR ima visok signal v primeru, kadar sta vhodna signala enaka. Večjo periodo rabimo, ker je generator psevdonaključen in se vrednosti po nekaj časa začnejo ponavljati. Komponenta ima le en vhodni in en izhodni signal. Vhodni CLK, ki je tipa STD LOGIC, služi sinhronizaciji z uro. Izhodni RANDOM NUM, tipa STD LOGIC VECTOR, velikosti 6 bitov izberemo iz vektorja Q. Prvih 6 bitov vektorja Q je naključni naslov. Preden se naključno število pošlje v naslednjo komponento, se preveri, če je 7

26 8 POGLAVJE 3. SIMULATOR IGRE GOMOKU število med 0 in 48, kolikor je veliko igralno polje. entity Random is port ( CLK : in STD_LOGIC; RANDOM_NUM : out STD_LOGIC_VECTOR(5 downto 0) -- Address ); end Random; architecture Behavioral of Random is begin process (CLK) variable Q : STD_LOGIC_VECTOR (1 to 31):= (others => 0 ); variable ADDR : STD_LOGIC_VECTOR (5 downto 0) := "000000"; begin if (rising_edge(clk)) then Q(1) := Q(25) xnor Q(26); Q(2) := Q(26) xnor Q(27); Q(3) := Q(27) xnor Q(28); Q(4) := Q(28) xnor Q(29); Q(5) := Q(29) xnor Q(30); Q(6) := Q(30) xnor Q(31); Q(7 to 31) := Q(1 to 25); end if; ADDR := Q(1 to 6); if (ADDR <= "110000") then RANDOM_NUM <= ADDR; end if; end process; end Behavioral;

27 3.2. KOMPONENTA RAM Komponenta Ram Komponenta Ram je razdeljena na več delov. Ima nalogo, da zapiše igralčeve poteze in preveri zmagovalca oziroma preveri, če je polje polno. Vrednosti v pomnilniku so shranjene kot 4 bitni vektorji, ki so na začetku Ta vrednost pomeni, da je polje prazno. Preden zapiše igralčevo potezo, preveri, če je polje prazno. Če polje ni prazno, se poteza ignorira in čaka na naslednji naključni naslov. Vsakič, ko se v polje uspešno zapiše vrednost, prištejemo 1 tej vrednosti, ki šteje število polnih polj. process (ADDR,CLK, Zmaga1, Zmaga2, Neodloceno) variable RAM_ADDR_IN : integer range 0 to 48; variable STARTUP : boolean := true; begin if (STARTUP = true) then RAM256 <= (others =>"0000"); POLNO_SIG_SUM <= "000000"; end if; STARTUP := false; if (rising_edge(clk)) then RAM_ADDR_IN := conv_integer(addr); if (RAM256(RAM_ADDR_IN) = "0000") then if (IZB = 1) then IZB <= 0; else IZB <= IZB + 1; end if; RAM256(RAM_ADDR_IN) <= IZBIRA(IZB); POLNO_SIG_SUM <= POLNO_SIG_SUM + 1 ; end if; end if; if (Zmaga1 = 1 or Zmaga2 = 1 or Neodloceno = 1 ) then STARTUP := true; end if; end process; NUM <= RAM256(0 to 48);

28 10 POGLAVJE 3. SIMULATOR IGRE GOMOKU 3.3 Komponenta Adder Poteza prvega igralca je vrednosti 0001, drugega pa Seštevalnik služi seštevanju vrednosti v pomnilniku. Sešteje 5 števil, kolikor je potrebnih za zmago, vendar le če so vsa števila večja od Imamo 3 vrste seštevalnikov zaradi polj različnih velikosti. Komponenta dobi vrednosti iz pomnilnika, ki so tipa STD LOGIC VECTOR velikosti štirih bitov in jih sešteje. Dobi rezultat, ki je vrednosti med 0101 in 1010, prav tako tipa STD LOGIC VECTOR velikosti štirih bitov Adder7 Adder7 se uporablja v primeru, kjer je vektor velikosti 7. Sešteje števila od NUM1 do NUM5, od NUM2 do NUM6 in od NUM3 do NUM7. Dobi 3 vsote, SUM1, SUM2 in SUM3, ter jih pošlje v komponento, kjer se preverja zmagovalca. Primer seštevkov je prikazan na sliki 3.1, kjer seštejemo polja 1, 8, 15, 22, 29, 36, 43, ki so primer prvega vektorja in 21, 22, 23, 24, 25, 26, 27, ki so primer drugega. entity Adder7 is Port( NUM1 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM2 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM3 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM4 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM5 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM6 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM7 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; SUM1 : out STD_LOGIC_VECTOR(3 downto 0) := "0000"; SUM2 : out STD_LOGIC_VECTOR(3 downto 0) := "0000"; SUM3 : out STD_LOGIC_VECTOR(3 downto 0) := "0000" ); end Adder7; architecture Behavioral of Adder7 is begin SUM1 <= NUM1 + NUM2 + NUM3 + NUM4 + NUM5 when (NUM1 > "0000")

29 3.3. KOMPONENTA ADDER 11 and (NUM2 > "0000") and (NUM3 > "0000") and (NUM4 > "0000") and (NUM5 > "0000") else "0000"; SUM2 <= NUM2 + NUM3 + NUM4 + NUM5 + NUM6 when (NUM2 > "0000") and (NUM3 > "0000") and (NUM4 > "0000") and (NUM5 > "0000") and (NUM6 > "0000") else "0000"; SUM3 <= NUM3 + NUM4 + NUM5 + NUM6 + NUM7 when (NUM3 > "0000") and (NUM4 > "0000") and (NUM5 > "0000") and (NUM6 > "0000") and (NUM7 > "0000") else "0000"; end Behavioral;

30 12 POGLAVJE 3. SIMULATOR IGRE GOMOKU Slika 3.1: Primer vektorjev dolžine Adder6 Adder6 se uporablja v primeru, kjer je vektor velikosti 6. Sešteje števila od NUM1 do NUM5 in od NUM2 do NUM6. Vrne vsoti SUM1 in SUM2, ki se pošljeta v naslednjo komponento. Primer seštevkov je na sliki 3.2, kjer seštejemo polja 1, 9, 17, 25, 33, 41, ki so primer prvega vektorja in 5, 11, 17, 23, 29, 35, ki so primer drugega. entity Adder6 is Port( NUM1 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM2 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM3 : in STD_LOGIC_VECTOR(3 downto 0) := "0000";

31 3.3. KOMPONENTA ADDER 13 NUM4 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM5 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM6 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; SUM1 : out STD_LOGIC_VECTOR(3 downto 0) := "0000"; SUM2 : out STD_LOGIC_VECTOR(3 downto 0) := "0000" ); end Adder6; architecture Behavioral of Adder6 is begin SUM1 <= NUM1 + NUM2 + NUM3 + NUM4 + NUM5 when (NUM1 > "0000") and (NUM2 > "0000") and (NUM3 > "0000") and (NUM4 > "0000") and (NUM5 > "0000") else "0000"; SUM2 <= NUM2 + NUM3 + NUM4 + NUM5 + NUM6 when (NUM2 > "0000") and (NUM3 > "0000") and (NUM4 > "0000") and (NUM5 > "0000") and (NUM6 > "0000") else "0000"; end Behavioral;

32 14 POGLAVJE 3. SIMULATOR IGRE GOMOKU Slika 3.2: Primer vektorjev dolžine Adder5 Adder 5 se uporablja, kadar je vektor velikosti 5. Sešteje vsa števila, NUM1 do NUM5 in dobljeno SUM1 pošlje v naslednjo komponento. Na sliki 3.3 je primer seštevkov vektorja z naslovi polj 2, 10, 18, 26, 34 in vektorja 4, 10, 16, 22, 28. entity Adder5 is Port(NUM1 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM2 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM3 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; NUM4 : in STD_LOGIC_VECTOR(3 downto 0) := "0000";

33 3.3. KOMPONENTA ADDER 15 ); NUM5 : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; SUM1 : out STD_LOGIC_VECTOR(3 downto 0) := "0000" end Adder5; architecture Behavioral of Adder5 is begin SUM1 <= NUM1 + NUM2 + NUM3 + NUM4 + NUM5 when (NUM1 > "0000") and (NUM2 > "0000") and (NUM3 > "0000") and (NUM4 > "0000") and (NUM5 > "0000") else "0000"; end Behavioral;

34 16 POGLAVJE 3. SIMULATOR IGRE GOMOKU Slika 3.3: Primer vektorjev dolžine Komponenta Checker Ko seštejemo vsa polja, lahko preverimo zmagovalca. Komponenta Checker dobi vrednosti seštevalnikov, ki so vse možnosti vektorjev dolžine 5,6 ali 7 v polju. Vseh seštevkov je 60, ki se shranijo v vektor. Na sliki 3.4 so vse možnosti polj, ki jih komponenta preveri. Komponenta ima dva vhodna signala. Prvi je SUM, tipa Matrika1, katerega smo definirali sami. Je vektor velikosti 60, treh bitnih STD LOGIC VECTOR. Drugi Polno sum je 5 bitni vektor, seštevek polnih polj. Skozi zanko v procesu preverjamo, če je katera od vrednosti v SUM 0101, kar pomeni prvega zmagovalca ali 1010, kar

35 3.4. KOMPONENTA CHECKER 17 pomeni drugega zmagovalca. Če je vrednosti Polno sum večja od 49, je izid neodločen. Če dobimo zmagovalca ali je izid neodločen, se vrednosti v komponenti Ram ponastavijo na PACKAGE matrika_ pkg IS type Matrika1 is array (1 to 60) of STD_LOGIC_VECTOR(3 downto 0); END; entity Checker is port ( SUM : in Matrika1; Zmaga1 : buffer STD_LOGIC; Zmaga2 : buffer STD_LOGIC; Neodloceno : buffer STD_ LOGIC; Polno_sum : in STD_LOGIC_VECTOR(5 downto 0) ); end Checker; architecture Behavioral of Checker is signal Polno : STD_LOGIC; begin Polno <= 1 when Polno_sum >= "110000" else 0 ; process(sum) begin Zmaga1 <= 0 ; for i in 1 to 60 loop if (SUM(i) = "0101") then Zmaga1 <= 1 ; end if; end loop; end process; process(sum) begin Zmaga2 <= 0 ; for i in 1 to 60 loop if (SUM(i) = "1010") then Zmaga2 <= 1 ;

36 18 POGLAVJE 3. SIMULATOR IGRE GOMOKU end if; end loop; end process; Neodloceno <= 1 when Polno = 1 and Zmaga1 = 0 and Zmaga2 = 0 else 0 ; end Behavioral; Slika 3.4: Polja, kjer so možnosti za zmago so označena z rdečo

37 Poglavje 4 Testiranje Testiranje smo simulirali na čipu XC7A100T, v simulatorju XILINX. Funkcije omogočajo izračun najvišje frekvence in najmanjšo periodo. Izvaja se s pomočjo datoteke test bench, v kateri se definira signale za simulacijo. 4.1 Test bench Test bench je pogosto navidezno okolje, ki je uporabljeno za preverbo pravilnosti ali stabilnosti načrta ali modela. Izraz ima korenine pri testiranju elektronskih naprav v laboratoriju, kjer je inženir izvajal teste z različnimi pripravami za merjenje in manipulacijo, kot so osciloskop, klešče, multimeter, itd. V kontekstu inženiringa, se test bench nanaša na okolje, v katerim s pomočjo orodij testiramo produkt v razvoju. Testiranje je pogosto zasnovano za točno določen produkt. V našem primeru nastavimo začetno vrednost ure na 0 in določimo njeno periodo. Vzeli smo najmanjšo možno urino periodo 10 nanosekund, pri kateri program še pravilno teče. ENTITY Igra_tb IS END Igra_tb; ARCHITECTURE behavior OF Igra_ tb IS COMPONENT Igra 19

38 20 POGLAVJE 4. TESTIRANJE PORT( CLK : IN std_logic; Zmaga1_ out : buffer std_ logic; Zmaga2_ out : buffer std_ logic; Neodloceno_ out : buffer std_ logic ); END COMPONENT; signal CLK : std_logic := 0 ; signal Zmaga1_out : std_logic; signal Zmaga2_out : std_logic; signal Neodloceno_ out : std_ logic; constant CLK_period : time := 10 ns; BEGIN uut: Igra PORT MAP ( CLK => CLK, Zmaga1_out => Zmaga1_out, Zmaga2_out => Zmaga2_out, Neodloceno_ out = > Neodloceno_ out ); CLK_ process : process begin CLK <= 0 ; wait for CLK_period/2; CLK <= 1 ; wait for CLK_period/2; end process; stim_ proc: process begin end process;

39 4.2. PRIMER IGRE 21 END; 4.2 Primer igre Pred začetkom igre lahko nastavimo seme generatorja naključnih števil. Igro poženemo v simulatorju XILINX in jo lahko izvajamo poljubno dolgo. Na sliki 4.1 je prikazan primer simulacije. Prvi signal prikazuje urino periodo, drugi in tretji signal sta igralca, četrti pa je neodločen izid. Ko dobimo zmagovalca ali neodločen izid, je tisti signal visoke napetosti pol urine periode. Slika 4.1: Primer igre

40 22 POGLAVJE 4. TESTIRANJE 4.3 Statistika iger Najdaljši čas poteze igralca z izbiranjem naključnega števila je bil malo manj kot 10ns, kar pomeni, da je najvišja frekvenca čipa približno 100MHz. Povprečen čas igre je bil približno 1900 nanosekund. V idealnem času bi bil povprečen čas igre 240 nanosekund, vendar je daljši zaradi izbiranja polja v Ram. Poteza se izbira naključno, dokler ne najde praznega polja. Kljub temu je čas poteze približno 40-krat hitrejši kot na računalniškem procesorju, čas igre pa v povprečju 10-krat hitrejši. Ker je izbiranje potez naključno, je število zmag prvega in drugega igralca približno enako. Večino manj je neodločenih izidov, kot je zmag prvega ali drugega igralca.

41 Poglavje 5 Sklepne ugotovitve V okviru diplomske naloge smo uspešno razvili simulator, ki simulira igro Gomoku, ter jo koristili za izvajanje igre na FPGA simulatorju. Testiranje implementacije je po pričakovanjih tekla razmeroma hitro. Narejena implementacija se je izkazala za veliko hitrejšo od implementacije na procesorju v večini primerov. Lahko bi tekla hitreje, če bi imeli boljši sistem za določanje naključnih števil in boljši način preverjanja, če je naslov že poln. Ena od idej je bila, da se naslovi premešajo v vektorski tabeli in se nato zapisujejo v pomnilnik. S tem bi določili večje najmanjše število potez igre, vendar ne bi imeli problemov pri podvajanju izbranih potez za igralca. Diplomsko delo se lahko uporablja za raziskovanje metode drevesnega preiskovanja Monte Carlo in pa tudi v drugih metodah, kjer je potrebno hitro simuliranje naključnosti. Lahko se uporablja napisane komponente tudi kot modul pri drugih simulatorjih. 23

42 24 POGLAVJE 5. SKLEPNE UGOTOVITVE

43 Literatura [1] Artix-7. [Online]. Dosegljivo: [Dostopano ]. [2] Knjižnice. [Online]. Dosegljivo: [Dostopano ]. [3] MCTS. [Online]. Dosegljivo: thesis.pdf. [Dostopano ]. [4] RTL. [Online]. Dosegljivo: level [Dostopano ]. [5] VHDL. [Online]. Dosegljivo: [Dostopano ]. 25

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Osnove jezika VHDL Strukturno načrtovanje in testiranje Struktura vezja s komponentami

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Model vezja Računalniški model in realno vezje Model logičnega negatorja Načini

Prikaži več

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funkciji izbiralnika. Tisti od 2 n izhodov y 0,.., y 2

Prikaži več

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD ( 5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (angl. Complex Programmable Logic Device) so manjša

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Zaporedni vmesniki Zaporedni (serijski) vmesniki Zaporedni (serijski) vmesniki

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Digitalni sistemi Vgrajeni digitalni sistemi Digitalni sistem: osebni računalnik

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Programirljivi Digitalni Sistemi Digitalni sistem Digitalni sistemi na integriranem vezju Digitalni sistem

Prikaži več

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvantnih celičnih avtomatov SEMINARSKA NALOGA Univerzitetna

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki Vodila, vzporedni (paralelni) vmesniki Vmesniki in vodila naprava 1

Prikaži več

DES11_vmesniki

DES11_vmesniki Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki in sekvenčna vezja Zaporedna in vzporedna vodila 1 Vmesniki in vodila

Prikaži več

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni RAM stroj Nataša Naglič 4. junij 2009 1 RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni trak, pomnilnik ter program. Bralni trak- zaporedje

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 4 - AV 4 Linije LTSpice, simulacija elektronskih vezij VIN - LV 1 Rozman,Škraba, FRI LTSpice LTSpice: http://www.linear.com/designtools/software/ https://www.analog.com/en/design-center/design-tools-andcalculators/ltspice-simulator.html

Prikaži več

DES11_realno

DES11_realno Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Delovanje realnega vezja Omejitve modela vezja 1 Model v VHDLu je poenostavljeno

Prikaži več

Microsoft Word - avd_vaje_ars1_1.doc

Microsoft Word - avd_vaje_ars1_1.doc ARS I Avditorne vaje Pri nekem programu je potrebno izvršiti N=1620 ukazov. Pogostost in trajanje posameznih vrst ukazov računalnika sta naslednja: Vrsta ukaza Štev. urinih period Pogostost Prenosi podatkov

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Procesorji Model računalnika, mikrokrmilnik CPE = mikrosekvenčnik + podatkovna

Prikaži več

Microsoft Word - CNC obdelava kazalo vsebine.doc

Microsoft Word - CNC obdelava kazalo vsebine.doc ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo mesto, april 2008 Ime in priimek študenta ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo

Prikaži več

Komisija za študijske zadeve UL Medicinske fakultete Vrazov trg 2 SI-1000 Ljubljana E: T: Režim študija Predmet: Uvod

Komisija za študijske zadeve UL Medicinske fakultete Vrazov trg 2 SI-1000 Ljubljana E: T: Režim študija Predmet: Uvod Komisija za študijske zadeve UL Medicinske fakultete Vrazov trg 2 SI-1000 Ljubljana E: ksz@mf.uni-lj.si T: +386 1 543 7700 Režim študija Predmet: Uvod v medicino, modul Informatika Študijski program: EMŠ

Prikaži več

Slide 1

Slide 1 Tehnike programiranja PREDAVANJE 10 Uvod v binarni svet in računalništvo (nadaljevanje) Logične operacije Ponovitev in ilustracija Logične operacije Negacija (eniški komplement) Negiramo vse bite v besedi

Prikaži več

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Žiga Mlakar PROGRAMIRLJIVE STRUKTURE FPGA NIZKE KOMPLEKSNOSTI Diplomsko delo Maribor, september 2014 PROGRAMIRLJIVE STRUKTURE

Prikaži več

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje priročno programsko okolje tolmač interpreter (ne prevajalnik)

Prikaži več

predstavitev fakultete za matematiko 2017 A

predstavitev fakultete za matematiko 2017 A ZAKAJ ŠTUDIJ MATEMATIKE? Ker vam je všeč in vam gre dobro od rok! lepa, eksaktna veda, ki ne zastara matematičnoanalitično sklepanje je uporabno povsod matematiki so zaposljivi ZAKAJ V LJUBLJANI? najdaljša

Prikaži več

STAVKI _5_

STAVKI _5_ 5. Stavki (Teoremi) Vsebina: Stavek superpozicije, stavek Thévenina in Nortona, maksimalna moč na bremenu (drugič), stavek Tellegena. 1. Stavek superpozicije Ta stavek določa, da lahko poljubno vezje sestavljeno

Prikaži več

VIN Lab 1

VIN Lab 1 Vhodno izhodne naprave Laboratorijska vaja 1 - AV 1 Signali, OE, Linije VIN - LV 1 Rozman,Škraba, FRI Laboratorijske vaje VIN Ocena iz vaj je sestavljena iz ocene dveh kolokvijev (50% ocene) in iz poročil

Prikaži več

Datum in kraj

Datum in kraj Ljubljana, 5. 4. 2017 Katalog znanj in vzorci nalog za izbirni izpit za vpis na magistrski študij Pedagoško računalništvo in informatika 2017/2018 0 KATALOG ZNANJ ZA IZBIRNI IZPIT ZA VPIS NA MAGISTRSKI

Prikaži več

Microsoft Word - Avditorne.docx

Microsoft Word - Avditorne.docx 1. Naloga Delovanje oscilatorja je odvisno od kapacitivnosti kondenzatorja C. Dopustno območje izhodnih frekvenc je podano z dopustnim območjem kapacitivnosti C od 1,35 do 1,61 nf. Uporabljen je kondenzator

Prikaži več

Sistemi Daljinskega Vodenja Vaja 3 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubl

Sistemi Daljinskega Vodenja Vaja 3 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubl Sistemi Daljinskega Vodenja Vaja 3 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani matej.kristan@fe.uni-lj.si Česa smo se naučili

Prikaži več

Microsoft Word - vaje2_ora.doc

Microsoft Word - vaje2_ora.doc II UKAZI 1. Napišite zaporedje ukazov, ki vrednost enobajtne spremenljivke STEV1 prepiše v enobajtno spremenljivko STEV2. Nalogo rešite z neposrednim naslavljanjem (zaporedje lahko vsebuje le 2 ukaza v

Prikaži več

Društvo za elektronske športe - spid.si Vaneča 69a 9201 Puconci Pravila tekmovanja na EPICENTER LAN 12 Hearthstone Na dogodku izvaja: Blaž Oršoš Datum

Društvo za elektronske športe - spid.si Vaneča 69a 9201 Puconci Pravila tekmovanja na EPICENTER LAN 12 Hearthstone Na dogodku izvaja: Blaž Oršoš Datum Pravila tekmovanja na EPICENTER LAN 12 Hearthstone Na dogodku izvaja: Blaž Oršoš Datum: 5. januar 2016 Društvo za elektronske športe [1/5] spid.si Slovenska pravila 1 OSNOVNE INFORMACIJE 1.1 Format tekmovanja

Prikaži več

Microsoft Word - M doc

Microsoft Word - M doc Državni izpitni center *M11145113* INFORMATIKA SPOMLADANSKI IZPITNI ROK NAVODILA ZA OCENJEVANJE Petek, 10. junij 2011 SPLOŠNA MATURA RIC 2011 2 M111-451-1-3 IZPITNA POLA 1 1. b 2. a 3. Pojem se povezuje

Prikaži več

Ime in priimek: Vpisna št: FAKULTETA ZA MATEMATIKO IN FIZIKO Oddelek za matematiko Verjetnost Pisni izpit 5. februar 2018 Navodila Pazljivo preberite

Ime in priimek: Vpisna št: FAKULTETA ZA MATEMATIKO IN FIZIKO Oddelek za matematiko Verjetnost Pisni izpit 5. februar 2018 Navodila Pazljivo preberite Ime in priimek: Vpisna št: FAKULTETA ZA MATEMATIKO IN FIZIKO Oddelek za matematiko Verjetnost Pisni izpit 5 februar 018 Navodila Pazljivo preberite besedilo naloge, preden se lotite reševanja Nalog je

Prikaži več

Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefo

Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefo Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefonih. Obstaja precej različic, sam pa sem sestavil meni

Prikaži več

HOKEJSKA ZVEZA SLOVENIJE Celovška LJUBLJANA Slovenija INTERNATIONAL HOCKEY LEAGUE 2019/20 MEDNARODNA HOKEJSKA LIGA 2019/20 V tekmovanju IHL čl

HOKEJSKA ZVEZA SLOVENIJE Celovška LJUBLJANA Slovenija INTERNATIONAL HOCKEY LEAGUE 2019/20 MEDNARODNA HOKEJSKA LIGA 2019/20 V tekmovanju IHL čl HOKEJSKA ZVEZA SLOVENIJE Celovška 25 1000 LJUBLJANA Slovenija INTERNATIONAL HOCKEY LEAGUE 2019/20 MEDNARODNA HOKEJSKA LIGA 2019/20 V tekmovanju IHL članov bodo nastopala moštva naslednjih klubov: 1. SKHL

Prikaži več

CelotniPraktikum_2011_verZaTisk.pdf

CelotniPraktikum_2011_verZaTisk.pdf Elektrotehniški praktikum Osnove digitalnih vezij Namen vaje Videti, kako delujejo osnovna dvovhodna logi na vezja v obliki integriranih vezij oziroma, kako opravljajo logi ne funkcije Boolove algebre.

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 5 - LV 1 Meritve dolžine in karakteristične impedance linije VIN - LV 1 Rozman,Škraba, FRI Model linije Rs Z 0, Vs u i u l R L V S - Napetost izvora [V] R S -

Prikaži več

BYOB Žogica v vesolju Besedilo naloge Glavna ideja igre je paziti, da žoga ne pade na tla igralne površine, pri tem pa zbrati čim več točk. Podobno ig

BYOB Žogica v vesolju Besedilo naloge Glavna ideja igre je paziti, da žoga ne pade na tla igralne površine, pri tem pa zbrati čim več točk. Podobno ig BYOB Žogica v vesolju Besedilo naloge Glavna ideja igre je paziti, da žoga ne pade na tla igralne površe, pri tem pa zbrati čim več točk. Podobno igro najdemo tudi v knjigi Scratch (Lajovic, 2011), vendar

Prikaži več

seminarska_naloga_za_ev

seminarska_naloga_za_ev Univerza v Ljubljani Fakulteta za elektrotehniko Matevž Seliger 8-kanalni Lightshow Seminarska naloga pri predmetu: V Horjulu, junij 2008 Kazalo: 1 Uvod... 3 1.1 Namen in uporaba izdelka... 3 2 Delovanje...

Prikaži več

Chapter 1

Chapter 1 - 1 - Poglavje 1 Uvod v podatkovne baze - 2 - Poglavje 1 Cilji (Teme).. Nekatere domene, kjer se uporabljajo podatkovne baze Značilnosti datotečnih sistemov Problemi vezani na datotečne sisteme Pomen izraza

Prikaži več

(Microsoft Word - U\350enje telegrafije po Kochovi metodi.doc)

(Microsoft Word - U\350enje telegrafije po Kochovi metodi.doc) MORSE UČENJE PO KOCHOVI METODI Računalniški program za učenje skupaj z nekaterimi dodatnimi datotekami dobite na spletni strani avtorja: http://www.g4fon.net/. Zanimive strani so tudi: - http://www.qsl.net/n1irz/finley.morse.html

Prikaži več

Priloga 1: Pravila za oblikovanje in uporabo standardiziranih referenc pri opravljanju plačilnih storitev Stran 4012 / Št. 34 / Uradni lis

Priloga 1: Pravila za oblikovanje in uporabo standardiziranih referenc pri opravljanju plačilnih storitev Stran 4012 / Št. 34 / Uradni lis Priloga 1: Pravila za oblikovanje in uporabo standardiziranih referenc pri opravljanju plačilnih storitev Stran 4012 / Št. 34 / 24. 5. 2019 Uradni list Republike Slovenije PRILOGA 1 PRAVILA ZA OBLIKOVANJE

Prikaži več

Osnove verjetnosti in statistika

Osnove verjetnosti in statistika Osnove verjetnosti in statistika Gašper Fijavž Fakulteta za računalništvo in informatiko Univerza v Ljubljani Ljubljana, 26. februar 2010 Poskus in dogodek Kaj je poskus? Vržemo kovanec. Petkrat vržemo

Prikaži več

Diapozitiv 1

Diapozitiv 1 9. Funkcije 1 9. 1. F U N K C I J A m a i n () 9.2. D E F I N I C I J A F U N K C I J E 9.3. S T A V E K r e t u r n 9.4. K L I C F U N K C I J E I N P R E N O S P A R A M E T R O V 9.5. P R E K R I V

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 12. junij 2013 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno-izhodne naprave naprave 1 Uvod VIN - 1 2018, Igor Škraba, FRI Vsebina 1 Uvod Signal električni signal Zvezni signal Diskretni signal Digitalni signal Lastnosti prenosnih medijev Slabljenje Pasovna

Prikaži več

'Kombinatoricna optimizacija / Lokalna optimizacija'

'Kombinatoricna optimizacija / Lokalna optimizacija' Kombinatorična optimizacija 3. Lokalna optimizacija Vladimir Batagelj FMF, matematika na vrhu različica: 15. november 2006 / 23 : 17 V. Batagelj: Kombinatorična optimizacija / 3. Lokalna optimizacija 1

Prikaži več

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Trditev: idealni enosmerni tokovni vir obratuje z močjo

Prikaži več

6.1 Uvod 6 Igra Chomp Marko Repše, Chomp je nepristranska igra dveh igralcev s popolno informacijo na dvo (ali vec) dimenzionalnem prostoru

6.1 Uvod 6 Igra Chomp Marko Repše, Chomp je nepristranska igra dveh igralcev s popolno informacijo na dvo (ali vec) dimenzionalnem prostoru 6.1 Uvod 6 Igra Chomp Marko Repše, 30.03.2009 Chomp je nepristranska igra dveh igralcev s popolno informacijo na dvo (ali vec) dimenzionalnem prostoru in na končni ali neskončni čokoladi. Igralca si izmenjujeta

Prikaži več

REALIZACIJA ELEKTRONSKIH SKLOPOV

REALIZACIJA ELEKTRONSKIH SKLOPOV Načrtovanje zaemc = elektronike 2 1 Katedra za elektroniko 2 Čemu? 3 Kdo? Katedra za elektroniko 4 Izziv: DC/DC stikalni napajalnik navzdol U vhod Vhodno sito Krmilno integrirano vezje NMOSFET NMOSFET

Prikaži več

Slide 1

Slide 1 Projektno vodenje PREDAVANJE 7 doc. dr. M. Zajc matej.zajc@fe.uni-lj.si Projektno vodenje z orodjem Excel Predstavitev Najbolj razširjeno orodje za delo s preglednicami Dva sklopa funkcij: Obdelava številk

Prikaži več

Poskusi s kondenzatorji

Poskusi s kondenzatorji Poskusi s kondenzatorji Samo Lasič, Fakulteta za Matematiko in Fiziko, Oddelek za fiziko, Ljubljana Povzetek Opisani so nekateri poskusi s kondenzatorji, ki smo jih izvedli z merilnim vmesnikom LabPro.

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : Državni izpitni center *M17178111* SPOMLADANSKI IZPITNI ROK Izpitna pola 1 Četrtek, 1. junij 2017 / 90 minut Dovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Microsoft Word - UP_Lekcija04_2014.docx

Microsoft Word - UP_Lekcija04_2014.docx 4. Zanka while Zanke pri programiranju uporabljamo, kadar moramo stavek ali skupino stavkov izvršiti večkrat zaporedoma. Namesto, da iste (ali podobne) stavke pišemo n-krat, jih napišemo samo enkrat in

Prikaži več

Vaje: Matrike 1. Ugani rezultat, nato pa dokaži z indukcijo: (a) (b) [ ] n 1 1 ; n N 0 1 n ; n N Pokaži, da je množica x 0 y 0 x

Vaje: Matrike 1. Ugani rezultat, nato pa dokaži z indukcijo: (a) (b) [ ] n 1 1 ; n N 0 1 n ; n N Pokaži, da je množica x 0 y 0 x Vaje: Matrike 1 Ugani rezultat, nato pa dokaži z indukcijo: (a) (b) [ ] n 1 1 ; n N n 1 1 0 1 ; n N 0 2 Pokaži, da je množica x 0 y 0 x y x + z ; x, y, z R y x z x vektorski podprostor v prostoru matrik

Prikaži več

HOKEJSKA ZVEZA SLOVENIJE Celovška LJUBLJANA Slovenija DRŽAVNO PRVENSTVO MLADINCEV U-18 v sezoni 2016/17 V tekmovanju za DP mladincev nastopajo

HOKEJSKA ZVEZA SLOVENIJE Celovška LJUBLJANA Slovenija DRŽAVNO PRVENSTVO MLADINCEV U-18 v sezoni 2016/17 V tekmovanju za DP mladincev nastopajo HOKEJSKA ZVEZA SLOVENIJE Celovška 25 1000 LJUBLJANA Slovenija DRŽAVNO PRVENSTVO MLADINCEV U-18 v sezoni 2016/17 V tekmovanju za DP mladincev nastopajo naslednja moštva: 1. Hk Triglav Kranj 2. Hk Olimpija

Prikaži več

2. Model multiple regresije

2. Model multiple regresije 2. Model multiple regresije doc. dr. Miroslav Verbič miroslav.verbic@ef.uni-lj.si www.miroslav-verbic.si Ljubljana, februar 2014 2.1 Populacijski regresijski model in regresijski model vzorčnih podatkov

Prikaži več

Avtomatizirano modeliranje pri celostnem upravljanju z vodnimi viri

Avtomatizirano modeliranje pri celostnem upravljanju z vodnimi viri Univerza v Ljubljani Fakulteta za gradbeništvo in geodezijo 36. Goljevščkov spominski dan Modeliranje kroženja vode in spiranja hranil v porečju reke Pesnice Mateja Škerjanec 1 Tjaša Kanduč 2 David Kocman

Prikaži več

Strojna oprema

Strojna oprema Asistenta: Mira Trebar, Miha Moškon UIKTNT 2 Uvod v programiranje Začeti moramo razmišljati algoritmično sestaviti recept = napisati algoritem Algoritem za uporabo poljubnega okenskega programa. UIKTNT

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec 2.TRAN analiza (Analiza v časovnem prostoru) Iskanje odziva nelinearnega dinamičnega vezja v časovnem prostoru Prehodni pojavi Stacionarno

Prikaži več

GHOSTBUSTERS navodila za učitelje O PROJEKTU S tem projektom se učenci sami naučijo izdelati igro. Ustvariti morajo več ikon (duhcov ali kaj drugega)

GHOSTBUSTERS navodila za učitelje O PROJEKTU S tem projektom se učenci sami naučijo izdelati igro. Ustvariti morajo več ikon (duhcov ali kaj drugega) GHOSTBUSTERS navodila za učitelje O PROJEKTU S tem projektom se učenci sami naučijo izdelati igro. Ustvariti morajo več ikon (duhcov ali kaj drugega) in za vsako napisati svojo kodo. Dve ikoni imata isto

Prikaži več

Diapozitiv 1

Diapozitiv 1 RAČUNALNIŠKA ARHITEKTURA 9 Pomnilniška hierarhija RA - 9 2018, Škraba, Rozman, FRI Pomnilniška hierarhija - vsebina 9 Pomnilniška hierarhija - cilji: Osnovno razumevanje : Lokalnosti pomnilniških dostopov

Prikaži več

Diapozitiv 1

Diapozitiv 1 Pogojni stavek Pogojni (if) stavek Tip bool Primerjanje Uranič Srečo If stavek Vsi dosedanji programi so se izvajali zaporedoma, ni bilo nobenih vejitev Program razvejimo na osnovi odločitev pogojnega

Prikaži več

VSEBINSKI NASLOV SEMINARSKE NALOGE

VSEBINSKI NASLOV SEMINARSKE NALOGE Univerza v Ljubljani Naravoslovnoteniška fakulteta Oddelek za tekstilstvo VSEBINSKI NASLOV SEMINARSKE NALOGE TITLE IN ENGLISH Avtorja: Študijska smer: Predmet: Informatika in metodologija diplomskega dela

Prikaži več

Modem in krajevno omrežje Uporabniški priročnik

Modem in krajevno omrežje Uporabniški priročnik Modem in krajevno omrežje Uporabniški priročnik Copyright 2008 Hewlett-Packard Development Company, L.P. Informacije v tem priročniku se lahko spremenijo brez prejšnjega obvestila. Edine garancije za HP-jeve

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : ržavni izpitni center *M15178112* SPOMLNSKI IZPITNI ROK Izpitna pola 2 Četrtek, 4. junij 2015 / 90 minut ovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali

Prikaži več

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo Turingov stroj in programiranje Barbara Strniša 12. 4. 2010 1 Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolov (običajno Σ 2) Σ n = {s 1 s 2... s n ; s i Σ, i =

Prikaži več

Microsoft Word - M _mod..docx

Microsoft Word - M _mod..docx Državni izpitni center *M17278113* JESENSKI IZPITNI ROK NAVODILA ZA OCENJEVANJE Ponedeljek, 28. avgust 2017 SPLOŠNA MATURA Državni izpitni center Vse pravice pridržane. M172-781-1-3 2 IZPITNA POLA 1 1

Prikaži več

Microsoft PowerPoint - NDES_8_USB_LIN.ppt

Microsoft PowerPoint - NDES_8_USB_LIN.ppt Laboratorij za na rtovanje integriranih vezij Fakulteta za elektrotehniko Univerza v Ljubljani ndrej Trost artovanje digitalnih el. sistemov Komunikacijski vmesniki UB in LI http://lniv.fe.uni-lj.si/ndes.html

Prikaži več

ŠTEVCI PROMETA IN NJIHOVA UPORABA ZA NAMENE STATISTIK ČRT GRAHONJA

ŠTEVCI PROMETA IN NJIHOVA UPORABA ZA NAMENE STATISTIK ČRT GRAHONJA ŠTEVCI PROMETA IN NJIHOVA UPORABA ZA NAMENE STATISTIK ČRT GRAHONJA Navdih Poizvedovanje po BD podatkovnih virih, ki imajo časovno dimenzijo in so dostopni. Večji promet pomeni večje število dobrin in močnejšo

Prikaži več

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 II Diplomsko delo visokošolskega strokovnega študijskega programa DETEKTOR FAZNE NAPAKE OPTIČNEGA ENKODERJA

Prikaži več

ARS1

ARS1 Nepredznačena in predznačena cela števila Dvojiški zapis Nepredznačeno Predznačeno 0000 0 0 0001 1 1 0010 2 2 0011 3 3 Pri odštevanju je stanje C obratno (posebnost ARM)! - če ne prekoračimo 0 => C=1 -

Prikaži več

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah O opozorilih OPOZORILO: OPOZORILO označuje možnost poškodb lastnine, telesnih poškodb ali smrti. Dell Vostro 430 List s tehničnimi informacijami o nastavitvi in funkcijah Pogled s sprednje in zadnje strani

Prikaži več

VPELJAVA MDM V DRŽAVEM ZBORU MATJAŽ ZADRAVEC

VPELJAVA MDM V DRŽAVEM ZBORU MATJAŽ ZADRAVEC VPELJAVA MDM V DRŽAVEM ZBORU MATJAŽ ZADRAVEC Državni zbor v številkah 90 poslancev 9 + 1 poslanska skupina 150+ mobilnih naprav (OS Android, ios) 500+ internih uporabnikov, 650+ osebnih računalnikov, 1100+

Prikaži več

No Slide Title

No Slide Title Glavne napake-pomoč KRONOS 1 Diagnostika in dostop do sistema PEČICA NAPAKA NAPAKA PRIKAZANA Z KODO NAPAKE NAPAKA BREZ INDIKACIJE KODE NAPAKE 2 Diagnostika in dostop do sistema Prikaz kode napake Informacije

Prikaži več

Teorija kodiranja in kriptografija 2013/ AES

Teorija kodiranja in kriptografija 2013/ AES Teorija kodiranja in kriptografija 23/24 AES Arjana Žitnik Univerza v Ljubljani, Fakulteta za matematiko in fiziko Ljubljana, 8. 3. 24 AES - zgodovina Septembra 997 je NIST objavil natečaj za izbor nove

Prikaži več

Microsoft Word - pravilnik diploma_1.doc

Microsoft Word - pravilnik diploma_1.doc Na podlagi Statuta Univerze v Ljubljani in 42. člena Pravil o organiziranosti in delovanju Visoke šole za zdravstvo je senat Univerze v Ljubljani Visoke šole za zdravstvo na 38. redni seji dne 16. 10.

Prikaži več

Microsoft Word - EV-2-projekt.doc

Microsoft Word - EV-2-projekt.doc UNIVERZA V LJUBLJANI FAKULTETA ZA ELEKTROTEHNIKO Matjaž Repovž MERILNIK TLAKA Seminarska nalogapri predmetu Elektronska vezja Ljubljana, 2009 Ključne besede: Merilnik Tlaka LPC2136 USB LabView RS232 FT232RL

Prikaži več

2.1 Osnovni pojmi 2 Nim Ga²per Ko²mrlj, Denicija 2.1 P-poloºaj je poloºaj, ki je izgubljen za igralca na potezi. N- poloºaj je poloºaj, ki

2.1 Osnovni pojmi 2 Nim Ga²per Ko²mrlj, Denicija 2.1 P-poloºaj je poloºaj, ki je izgubljen za igralca na potezi. N- poloºaj je poloºaj, ki 2.1 Osnovni pojmi 2 Nim Ga²per Ko²mrlj, 2. 3. 2009 Denicija 2.1 P-poloºaj je poloºaj, ki je izgubljen za igralca na potezi. N- poloºaj je poloºaj, ki je dobljen za igralca na potezi. Poloºaj je kon en,

Prikaži več

Microsoft PowerPoint _12_15-11_predavanje(1_00)-IR-pdf

Microsoft PowerPoint _12_15-11_predavanje(1_00)-IR-pdf uporaba for zanke i iz korak > 0 oblika zanke: for i iz : korak : ik NE i ik DA stavek1 stavek2 stavekn stavek1 stavek2 stavekn end i i + korak I&: P-XI/1/17 uporaba for zanke i iz korak < 0 oblika zanke:

Prikaži več

Brezplačno učenje zaposlenim in brezposelnim od 2018 do 2022 omogočata Ministrstvo za izobraževanje, znanost in šport ter Evropska unija iz Evropskega

Brezplačno učenje zaposlenim in brezposelnim od 2018 do 2022 omogočata Ministrstvo za izobraževanje, znanost in šport ter Evropska unija iz Evropskega ter Evropska unija iz Evropskega socialnega sklada Računalniška pismenost za odrasle 60 ur. - Seznaniti vas z osnovnimi komponentami računalnika in osnovnimi pojmi informacijske tehnologije. - Naučiti

Prikaži več

Poročilo projekta : Učinkovita raba energije Primerjava klasične sončne elektrarne z sončno elektrarno ki sledi soncu. Cilj projekta: Cilj našega proj

Poročilo projekta : Učinkovita raba energije Primerjava klasične sončne elektrarne z sončno elektrarno ki sledi soncu. Cilj projekta: Cilj našega proj Poročilo projekta : Učinkovita raba energije Primerjava klasične sončne elektrarne z sončno elektrarno ki sledi soncu. Cilj projekta: Cilj našega projekta je bil izdelati učilo napravo za prikaz delovanja

Prikaži več

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampreht, Luka Stepančič, Igor Vizec, Boštjan Žankar Povzetek

Prikaži več

Mere kompleksnih mrež (angl. Network Statistics) - Seminarska naloga pri predmetu Izbrana poglavja iz diskretne matematike

Mere kompleksnih mrež   (angl. Network Statistics) - Seminarska naloga pri predmetu Izbrana poglavja iz diskretne matematike Mere kompleksnih mrež (angl. Network Statistics) Seminarska naloga pri predmetu Izbrana poglavja iz diskretne matematike Ajda Pirnat, Julia Cafnik in Živa Mitar Fakulteta za matematiko in fiziko April

Prikaži več

Logični modul LOGO!

Logični modul LOGO! Logični modul LOGO! LOGO! Siemensov univerzalni logični modul LOGO! vsebuje: Krmilno enoto Enoto za prikaz in tipkovnico Napajalno vezje Vmesnik za spominski modul in PC kabel Funkcije, pripravljene za

Prikaži več

COBISS3/Medknjižnična izposoja

COBISS3/Medknjižnična izposoja 3/Medknjižnična izposoja 2.2 KATALOG Katalog nam omogoča: iskanje gradiva prikaz izbranih bibliografskih zapisov ali pripadajočih podatkov o zalogi iz lokalne baze podatkov v formatu COMARC vpogled v stanje

Prikaži več

ORA 1-3

ORA 1-3 OSNOVE RAČUNALNIŠKE ARHITEKTURE II 9 Glavni pomnilnik ORA 2-9 Igor Škraba, FRI Glavni pomnilnik in predpomnilnik Glavni pomnilnik je prostor iz katerega CPE bere ukaze in operande in vanj shranjuje rezultate.

Prikaži več

(Microsoft Word - UNI_\212traus_Darko_1983_ docx)

(Microsoft Word - UNI_\212traus_Darko_1983_ docx) Darko Štraus Razvojno testiranje RFID značke Diplomsko delo Maribor, avgust 2009 I Diplomsko delo univerzitetnega študijskega programa elektrotehnika RAZVOJNO TESTIRANJE RFID ZNAČKE Študent: Študijski

Prikaži več

APS1

APS1 Algoritmi in podatkovne strukture 1 Visokošolski strokovni študij Računalništvo in informatika Abstraktni podatkovni tipi Jurij Mihelič, UniLj, FRI Podatkovni tipi Razvil Pascal, Oberon itd. Software is

Prikaži več

Navodila za uporabo Mini snemalnik

Navodila za uporabo Mini snemalnik Navodila za uporabo Mini snemalnik www.spyshop.eu Pred vami so navodila za pravilno uporabo mini snemalnika in opis funkcionalnosti. Lastnosti snemalnika: Naziv Mere Teža Kapaciteta spomina Snemanje Format

Prikaži več

Podatkovni model ER

Podatkovni model ER Podatkovni model Entiteta- Razmerje Iztok Savnik, FAMNIT 2018/19 Pregled: Načrtovanje podatkovnih baz Konceptualno načtrovanje: (ER Model) Kaj so entite in razmerja v aplikacijskem okolju? Katere podatke

Prikaži več

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE ELEKTRONIKA ŠTUDIJ ELEKTRONIKE Umetni nos, Laboratorij za mikroelektroniko, FE Odprtokodni instrument, Red Pitaya, Ljubljana Senzorji krvnega tlaka, Hyb, Šentjernej Elaphe, elektronika omogoča električno

Prikaži več

Microsoft PowerPoint - IPPU-V2.ppt

Microsoft PowerPoint - IPPU-V2.ppt Informatizacija poslovnih procesov v upravi VAJA 2 Procesni pogled Diagram aktivnosti IPPU vaja 2; stran: 1 Fakulteta za upravo, 2006/07 Procesni pogled Je osnova za razvoj programov Prikazuje algoritme

Prikaži več

Zavod sv. Stanislava Škofijska klasična gimnazija Programiranje v Pythonu Program za računanje Maturitetna seminarska naloga iz informatike Kandidat:

Zavod sv. Stanislava Škofijska klasična gimnazija Programiranje v Pythonu Program za računanje Maturitetna seminarska naloga iz informatike Kandidat: Zavod sv. Stanislava Škofijska klasična gimnazija Program za računanje Maturitetna seminarska naloga iz informatike Kandidat: Tinkara Čadež Mentor: Helena Starc Grlj Ljubljana Šentvid, april 2019 POVZETEK

Prikaži več

Navodila:

Navodila: 1 Napišite zaporedje ukazov, ki vrednost enobajtne spremenljivke STEV1 prepiše v enobajtno spremenljivko STEV2. Nalogo rešite z neposrednim naslavljanjem (zaporedje lahko vsebuje le 2 ukaza v zbirniku

Prikaži več

Microsoft Word - Dip2U_41.doc

Microsoft Word - Dip2U_41.doc Stanislav Moraus UPORABA PROGRAMABILNIH VEZIJ ZA ZAZNAVO NAPAK V VGRAJENIH KRMILNIH SISTEMIH Diplomsko delo Maribor, Junij 2010 I Diplomsko delo univerzitetnega študijskega programa Uporaba programabilnih

Prikaži več

Univerza v Ljubljani Fakulteta za elektrotehniko PREDSTAVITVENI ZBORNIK MAGISTRSKEGA ŠTUDIJSKEGA PROGRAMA II. STOPNJE ELEKTROTEHNIKA NA FAKULTETI ZA E

Univerza v Ljubljani Fakulteta za elektrotehniko PREDSTAVITVENI ZBORNIK MAGISTRSKEGA ŠTUDIJSKEGA PROGRAMA II. STOPNJE ELEKTROTEHNIKA NA FAKULTETI ZA E PREDSTAVITVENI ZBORNIK MAGISTRSKEGA ŠTUDIJSKEGA PROGRAMA II. STOPNJE ELEKTROTEHNIKA NA FAKULTETI ZA ELEKTROTEHNIKO UNIVERZE V LJUBLJANI Ljubljana, 2019 Kazalo 1. Podatki o študijskem programu... 3 2. Temeljni

Prikaži več

NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: Faks.: in

NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: Faks.: in NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: +386 1 729 6 460 Faks.: +386 1 729 6 466 www.nevtrin.si info@elektrina.si USB RFID READER Navodila za uporabo?

Prikaži več

PowerPointova predstavitev

PowerPointova predstavitev Dostopnost elektronskih virov za slepe, slabovidne in druge osebe z motnjami branja Kristina Janc ZSSML - 27. Seja TIFLO sekcije 22.3.2012 Možnost izkoriščanja elektronskih virov za slepe, slabovidne in

Prikaži več

Učinkovita izvedba algoritma Goldberg-Tarjan Teja Peklaj 26. februar Definicije Definicija 1 Naj bo (G, u, s, t) omrežje, f : E(G) R, za katero v

Učinkovita izvedba algoritma Goldberg-Tarjan Teja Peklaj 26. februar Definicije Definicija 1 Naj bo (G, u, s, t) omrežje, f : E(G) R, za katero v Učinkovita izvedba algoritma Goldberg-Tarjan Teja Peklaj 26. februar 2009 1 Definicije Definicija 1 Naj bo (G, u, s, t) omrežje, f : E(G) R, za katero velja 0 f(e) u(e) za e E(G). Za v V (G) definiramo presežek

Prikaži več

Zbornica zdravstvene in babiške nege Slovenije Zveza strokovnih društev medicinskih sester, babic in zdravstvenih tehnikov Slovenije Stanje:

Zbornica zdravstvene in babiške nege Slovenije Zveza strokovnih društev medicinskih sester, babic in zdravstvenih tehnikov Slovenije Stanje: Zbornica zdravstvene in babiške nege Slovenije Zveza strokovnih društev medicinskih sester, babic in zdravstvenih tehnikov Slovenije Stanje: 17.07.2013 Ver. 2.9.1.2 Spletni portal članov uporabniška navodila

Prikaži več