3 Signali in prireditveni stavki

Velikost: px
Začni prikazovanje s strani:

Download "3 Signali in prireditveni stavki"

Transkripcija

1 3 Signali in prireditveni stavki Najpreprostejši stavek za opis kombinacijskih funkcij je prireditveni stavek, kjer signalu z operatorjem <= priredimo konstantno vrednost ali izraz. Enobitne konstantne vrednosti morajo biti zapisane med enojnimi narekovaji, za vektorske konstante pa uporabljamo dvojne narekovaje. Npr. -bitni vektor a postavimo na vredost 5: a <= "000001"; $e želimo vse bite vektorja postaviti na enako vrednost, uporabimo poseben zapis agregat. Agregat je zelo uporaben takrat, kadar imamo velike vektorje, ki jih želimo postaviti npr. na 0: a <= (others => '0'); Tabela 4. Vektorski signali Deklaracija -bitnega vektorja: a: std_logic_vector (7 downto 0); Prirejanje vrednosti: - celotnemu vektorju: a <= "0001"; - postavitev na ni*: a <= (others => '0'); - posameznemu bitu: a(7) <= '0'; - podvektorju: a(3 downto 0) <= "01"; Z vektorskimi signali lahko v jeziku VHDL izvajamo aritmeti.ne in logi.ne operacije. Logi.ni operatorji se pri sintezi vezja preslikajo direktno v logi.na vrata logi.ne operacije se izvajajo nad posameznimi biti, tako da dobimo toliko dvovhodnih logi.nih vrat kolikor imamo bitov. Primer: signal a, b: std_logic_vector(3 downto 0); c <= ( a and b ) xor "01"; Izrazi predstavljajo kombinacijsko logiko, katere izhod je signal, ki mu priredimo vrednost. V izrazu s 4-bitnimi vektorji je vsak logi.ni operator ponovljen štirikrat vezje vsebuje 4 vrata and in 4 vrata xor. Posameznemu signalu lahko le enkrat priredimo vrednost. $e zapišemo ve. prireditvenih stavkov za isti signal, bi dobili v vezju kratek stik!

2 3.1 Pogojni prireditveni stavek Kadar želimo nekemu signalu prirediti ve. razli.nih vrednosti ali izrazov pod dolo.enimi pogoji, uporabimo pogojni prireditveni stavek. Pogoj zapišemo z uporabo relacijskih operatorjev (glej tabelo 6). Tabela 5. Pogojni prireditveni stavek signal <= izraz1 when pogoj1 else izraz; signal <= izraz1 when pogoj1 else izraz when pogoj else izraz3; A Izbiralnik V splošnem s pogojnim prireditvenim stavkom izbiramo med dvema ali ve. izrazi, ki predstavljajo kombinacijske funkcije. Pogojni prireditveni stavek v osnovni obliki izbira med dvema izrazoma, zato vezje vsebuje dvovhodni izbiralnik (multipleksor): izraz1 izraz signal pogoj Slika 9. Dvovhodni izbiralnik Primer: dvovhodni štiribitni izbiralnik je vezje, ki postavi na izhod vrednost enega izmed dveh 4-bitnih vektorskih signalov a ali b. a(0) signal a, b: std_logic_vector(3 downto 0); izhod(0) b(0) izhod <= a when izbira='0' else b; a(1) izhod(1) b(1) $e je signal izbira postavljen na logi.no a() ni.lo, se prenese na izhod vrednost signala izhod() a, sicer pa vrednost signala b. Štiribitni b() vektorski izbiralnik je sestavljen iz štirih a(3) izhod(3) enobitnih izbiralnikov s skupnim izbirnim b(3) vhodom. izbira Slika. Štiribitni izbiralnik

3 Primer: narediti želimo kombinacijsko funkcijo, ki signalu x prišteje absolutno vrednost signala y. To lahko storimo z dvodelno funkcijo, ki naredi vsoto (x+y) kadar je y pozitiven oz. razliko (x-y),.e je y negativen: signal x, y: std_logic_vector(3 downto 0); izhod <= x+y when y>0 else x-y; Vezje dvodelne funkcije je narejeno z dvovhodnim vektorskim izbiralnikom, ki izbira med vektorskim signalom iz seštevalnika in signalom iz odštevalnika. Izbirni vhod vektorskega izbiralnika krmili primerjalnik, kot prikazuje slika. Kadar je vrednost signala y pozitivna, bo dal primerjalnik na izhod logi.no '1', sicer pa bo na izhodu primerjalnika logi.na '0'. x + y x izhod + izhod y >0 Slika. Vezje dvodelne funkcije >0 Slika. Sintetizirano vezje Program za sintezo vezij naredi vezje iz gradnikov, ki jih ima na voljo. $e je na voljo seštevalno-odštevalni gradnik, ga uporabi in naredi bolj optimalno vezje, kot prikazuje slika. B Primerjalnik Primerjalnik dobimo v vezju vsakokrat ko primerjamo vrednosti dveh vektorskih signalov z uporabo relacijskih operatorjev. Tabela 6. Relacijski operatorji = /= > >= < <= enako ni enako ve*je ve*je ali manjše manjše ali enako enako Operatorja enako in ni enako sta definirana za vse tipe podatkov, ostali pa za skalarne in vektorske tipe. Tabela 6 predstavlja relacijske operatorje v jeziku VHDL, ki jih lahko uporabljamo v pogojih pri pogojnem prireditvenem stavku. Preprost

4 primerjalnik opišemo tako, da uporabimo v pogojnem prireditvenem stavku namesto izrazov kar konstantne vrednosti: enako <= '1' when x=y else '0'; Pri primerjavi vrednosti vektorskih signalov se njihova vrednost interpretira kot nepredzna.eno ali predzna.eno število v dvojiškem zapisu, kar dolo.amo z uporabo knjižnjic in paketov. Primer: primerjalnik velikosti vhodne vrednosti library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Primerjalnik is port ( x : in std_logic_vector(7 downto 0); izhod : out std_logic); end Primerjalnik; architecture opis of Primerjalnik is izhod <= '1' when x> else '0'; end opis; V opis vezja je vklju.en paket STD_LOGIC_UNSIGNED v katerem so definirane operacije nad vektorji tipa std_logic_vector, ki predstavljajo nepredzna.ene vrednosti. Primerjalni operatorji so v knjižnjici definirani tako, da lahko primerjamo med seboj vektorske vrednosti (npr. x>"0000") ali pa vektorje in cela števila (npr. x>). $e bi uporabili paket STD_LOGIC_SIGNED, bi bil operator primerjave definiran za predzna.ene vrednosti. Rezultat primerjave bi bil enak '1' za vrednosti med "0000" (desetiško ) in "01" (desetiško 7). Kadar je najvišji bit vektorja x enak ena, se v tem primeru vrednost obravnava kot negativna in rezultat primerjave bo enak '0'. Kompleksnejše primerjave naredimo z uporabo logi.nih operatorjev: obmocje <= '1' when x> and x<0 else '0'; x > <0 obmocje Slika. Sestavljeni primerjalnik

5 C Prekinitveni kodirnik Naloga prekinitvenega kodirnika je, da med aktivnimi prekinitvenimi signali izbere tistega, ki ima prioriteto in izbiro sporo.i v obliki prekinitvenega vektorja. p1 p p3 PrekKod vektor prekini Slika. Model prekinitvenega kodirnika Poglejmo si primer kodirnika, ki ima na vhodu tri prekinitvene signale: p1, p in p3. Prekinitveni vektor naj bo dvobitna vrednost, ki pove zaporedno številko aktivne prekinitve. $e je hkrati postavljenih ve. prekinitev, je izbrana prekinitev z nižjo številko. Vezje naj ima tudi izhod prekini, ki naj bo postavljen na '1',.e je aktiven katerikoli prekinitveni vhod library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PrekKod is port ( p1, p, p3 : in std_logic; vektor : out std_logic_vector(1 downto 0) ; prekini : out std_logic ); end PrekKod; architecture opis of PrekKod is vektor <= "01" when p1='1' else "" when p='1' else "" when p3='1' else "00"; prekini <= '1' when p1='1' or p='1' or p3='1' else '0'; end opis; Vezje je opisano s pogojnim prireditvenim stavkom v razširjeni obliki, kjer imajo zaporedni pogoji prioriteto. Pogoj, ki je napisan prvi (int1='1') se najprej preveri in.e ni izpolnjen, se preveri drugi pogoj (int='1') in tako naprej. $e sta torej dva pogoja hkrati izpolnjena, npr. int1 in int, bo obveljal prvi pogoj. Kadar ni izpolnjen noben pogoj, se izvede kon.ni else in prekinitveni vektor postavi na "00".

6 p1 p p3 vektor prekini Slika. Simulacija prekinitvenega kodirnika Naloge 1. Naredi vezje za funkcijo maksimum. Vezje naj ima dva -bitna vhoda in en -bitni izhod. Na izhodu naj bo vedno maksimalna izmed obeh vhodnih vrednosti. x y FMaks maks. Opiši sestavljeni primerjalnik, ki preverja ali je 4-bitna vhodna vrednost znotraj nekega obmo.ja (npr. med 5 in ). Preveri na simulaciji delovanje primerjalnika in ugotovi, kaj se zgodi,.e vklju.iš knjižnjico za delo s predzna.enimi vrednostmi (STD_LOGIC_SIGNED). 3. Opiši vezje za kodiranje magnitude 7-bitnega vhodnega signala. Izhod vezja je 3-bitna vrednost, ki pove koliko bitov potrebujemo za zapis vhodne vrednosti. Kodiranje lahko opišemo z zaporedno (prioritetno) primerjavo bitov od najvišjega navzdol: -.e je na vhodu sedmi bit enak '1', bo izhod "1", sicer -.e je na vhodu šesti bit enak '1', bo izhod "0", sicer -.e je na vhodu peti bit enak '1', bo izhod "1"...

7 17 3. Izbirni stavek Pogojni prireditveni stavek v razširjeni obliki se nam kar sam ponuja za opis vezij, pri katerih izbiramo med ve.jim številom vhodnih signalov, ki jih ob dolo.enih pogojih pripeljemo na izhod. A Izbiralnik 4-1 Poglejmo si primer izbiralnika 4-1, ki z dvobitnim vektorjem izbira enega izmed štirih vhodov. Vhodni signali so lahko enobitni ali vektorski signali. vhod_a vhod_b vhod_c vhod_d izhod izbira Slika. Izbiralnik 4-1 Izbiralnik opišemo s pogojnim prireditvenim stavkom v razširjeni obliki: architecture opis of mux is izhod <= vhod_a when izbira="00" else vhod_b when izbira="01" else vhod_c when izbira="" else vhod_d; end opis; Pri opisu izbiralnika nismo zapisali zadnjega pogoja (izbira=""), pa. pa smo priredili signal vhod_d v primeru da noben izmed predhodnih pogojev ni izpolnjen. To je dobra praksa pri opisovanju kombinacijskih vezij, kjer morajo biti izhodni signali definirani v vseh primerih. Kadar opisujemo kombinacijska vezja z razširjenim when-else stavkom zapišemo kon.ni else brez dodatnega pogoja. V nasprotnem primeru lahko dobimo v vezju zapah! Razširjeni pogojni prireditveni stavek obravnava zaporedne pogoje s prioriteto.e prvi pogoj ni izpoljen se preveri drugi pogoj in.e oba nista izpolnjena se preveri tretji pogoj itn. Pri sintezi vezja predstavljajo zaporedni pogoji

8 zaporedno (ve.nivojsko) logiko, kar pomeni ve.je in po.asnejše vezje. Kadar so pogoji s katerimi izbiramo razli.ne izhode vezani le na en signal (npr. signal izbira), lahko opišemo vezje s pomo.jo izbirnega stavka: 1 Tabela 7. Izbirni stavek with izbirni_signal select signal <= izraz1 when vrednost1, izraz when vrednost,... izrazn when others; 17 architecture opis of mux is with izbira select izhod <= vhod_a when "00", vhod_b when "01", vhod_c when "", vhod_d when others; end opis; Namesto zadnjega pogoja ("") smo uporabili rezervirano besedo others, s katero zaobjamemo vse ostale možne izbire. Ker so signali tipa std_logic, so med ostalimi možnimi vrednostmi tudi "UU", "ZZ", "XX" ipd. B 7-segmentni dekodirnik Izbirni stavek je uporaben za opis kombinacijskih dekodirnikov, pri katerih kombinacije vhodnega signala prekodiramo v kombinacije izhodnega signala. Vzemimo primer dekodirnika za 7-segmentni prikazovalnik, kot je prikazan na sliki. Tabela prikazuje prekodiranje desetiških cifer v 7-bitno kodo: število binarna vrednost 7-bitna koda Slika segmentni prikazovalnik

9 Vezje dekodirnika naj ima 4-bitni BCD vhod in vhod, ki prižiga piko na prikazovalniku. Izhod je -bitni vektor pri katerem spodnjih 7 bitov prižiga segmente prikazovalnika, osmi bit pa je vezan na piko. bcd pika Dekoder 4 izhod Slika 1. Model vezja 7-segmentnega dekodirnika Za vse vhodne vrednosti, ki so izven obmo.ja BCD vrednosti, naj se na prikazovalniku pokaže znak F (7-bitna koda 001) entity Dekoder is port ( bcd: in std_logic_vector (3 downto 0); pika: in std_logic; izhod: out std_logic_vector (7 downto 0)); end Dekoder; architecture opis of Dekoder is with bcd select izhod(6 downto 0) <= "0" when "0000", "00000" when "0001", "0" when "00", "0" when "00", "000" when "00", "001" when "01", "1" when "00", "00001" when "01", "1" when "00", "0" when "01", "001" when others; izhod(7) <= pika; end opis; V izbirnem stavku smo nastavili samo spodnjih 7 bitov vektorja izhod (vrs. ), osmi bit pa je z navadnim prireditvenim stavkom (vrs. 5) povezan na vhodni signal pika.

10 C Aritmetino-logina enota 0 Z izbirnim stavkom lahko na zelo kompakten na.in opišemo aritmeti.nologi.ne enote (ALE). Naredili bomo primer ALE z dvema aritmeti.nima in dvema logi.nima operacijama. Vrsto operacije dolo.a dvobitni vhodni signal: op operacija 00 a + b 01 a b a and b a or b a b ALE + IN ALI rezultat op Slika 19. Aritmeti*no-logi*na enota V opisu vezja prirejamo posamezne izraze znotraj izbirnega (with-select) stavka. Izbirni signal (op) dolo.a, kateri izraz bomo priredili rezultatu. Ko naštevamo vrednosti izbirnega signala, moramo namesto zadnje vrednosti uporabiti rezervirano besedo others entity ALE is port ( a, b: in std_logic_vector (7 downto 0); op: in std_logic_vector (1 downto 0); rezultat: out std_logic_vector (7 downto 0)); end ALE; architecture opis of ALE is with op select rezultat <= a + b when "00", a b when "01", a and b when "", a or b when others; end opis; Naloga 1. Naredi dekodirnik za -bitni digitalni VU-meter. Vrednost na 3-bitnem vhodu naj dolo.a koliko izhodnih bitov je postavljenih na '1'.

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funkciji izbiralnika. Tisti od 2 n izhodov y 0,.., y 2

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Osnove jezika VHDL Strukturno načrtovanje in testiranje Struktura vezja s komponentami

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Model vezja Računalniški model in realno vezje Model logičnega negatorja Načini

Prikaži več

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD ( 5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (angl. Complex Programmable Logic Device) so manjša

Prikaži več

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvantnih celičnih avtomatov SEMINARSKA NALOGA Univerzitetna

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Programirljivi Digitalni Sistemi Digitalni sistem Digitalni sistemi na integriranem vezju Digitalni sistem

Prikaži več

Microsoft Word - avd_vaje_ars1_1.doc

Microsoft Word - avd_vaje_ars1_1.doc ARS I Avditorne vaje Pri nekem programu je potrebno izvršiti N=1620 ukazov. Pogostost in trajanje posameznih vrst ukazov računalnika sta naslednja: Vrsta ukaza Štev. urinih period Pogostost Prenosi podatkov

Prikaži več

CelotniPraktikum_2011_verZaTisk.pdf

CelotniPraktikum_2011_verZaTisk.pdf Elektrotehniški praktikum Osnove digitalnih vezij Namen vaje Videti, kako delujejo osnovna dvovhodna logi na vezja v obliki integriranih vezij oziroma, kako opravljajo logi ne funkcije Boolove algebre.

Prikaži več

DES11_realno

DES11_realno Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Delovanje realnega vezja Omejitve modela vezja 1 Model v VHDLu je poenostavljeno

Prikaži več

Slide 1

Slide 1 Tehnike programiranja PREDAVANJE 10 Uvod v binarni svet in računalništvo (nadaljevanje) Logične operacije Ponovitev in ilustracija Logične operacije Negacija (eniški komplement) Negiramo vse bite v besedi

Prikaži več

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajanje prekinitvene rutine Dogodek GLAVNI PROGRAM (MAIN-OB1)

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Zaporedni vmesniki Zaporedni (serijski) vmesniki Zaporedni (serijski) vmesniki

Prikaži več

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje priročno programsko okolje tolmač interpreter (ne prevajalnik)

Prikaži več

Datum in kraj

Datum in kraj Ljubljana, 5. 4. 2017 Katalog znanj in vzorci nalog za izbirni izpit za vpis na magistrski študij Pedagoško računalništvo in informatika 2017/2018 0 KATALOG ZNANJ ZA IZBIRNI IZPIT ZA VPIS NA MAGISTRSKI

Prikaži več

Microsoft PowerPoint - NDES_8_USB_LIN.ppt

Microsoft PowerPoint - NDES_8_USB_LIN.ppt Laboratorij za na rtovanje integriranih vezij Fakulteta za elektrotehniko Univerza v Ljubljani ndrej Trost artovanje digitalnih el. sistemov Komunikacijski vmesniki UB in LI http://lniv.fe.uni-lj.si/ndes.html

Prikaži več

ARS1

ARS1 Nepredznačena in predznačena cela števila Dvojiški zapis Nepredznačeno Predznačeno 0000 0 0 0001 1 1 0010 2 2 0011 3 3 Pri odštevanju je stanje C obratno (posebnost ARM)! - če ne prekoračimo 0 => C=1 -

Prikaži več

Diapozitiv 1

Diapozitiv 1 9. Funkcije 1 9. 1. F U N K C I J A m a i n () 9.2. D E F I N I C I J A F U N K C I J E 9.3. S T A V E K r e t u r n 9.4. K L I C F U N K C I J E I N P R E N O S P A R A M E T R O V 9.5. P R E K R I V

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Digitalni sistemi Vgrajeni digitalni sistemi Digitalni sistem: osebni računalnik

Prikaži več

DES11_vmesniki

DES11_vmesniki Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki in sekvenčna vezja Zaporedna in vzporedna vodila 1 Vmesniki in vodila

Prikaži več

Podatkovni model ER

Podatkovni model ER Podatkovni model Entiteta- Razmerje Iztok Savnik, FAMNIT 2018/19 Pregled: Načrtovanje podatkovnih baz Konceptualno načtrovanje: (ER Model) Kaj so entite in razmerja v aplikacijskem okolju? Katere podatke

Prikaži več

Diapozitiv 1

Diapozitiv 1 RAČUNALNIŠKA ARHITEKTURA 5 Operandi RA - 5 2018, Škraba, Rozman, FRI Predstavitev informacije - vsebina 5 Operandi - cilji: Razumevanje različnih formatov zapisovanja operandov Abecede (znaki) Števila

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : ržavni izpitni center *M15178112* SPOMLNSKI IZPITNI ROK Izpitna pola 2 Četrtek, 4. junij 2015 / 90 minut ovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali

Prikaži več

Diapozitiv 1

Diapozitiv 1 Pogojni stavek Pogojni (if) stavek Tip bool Primerjanje Uranič Srečo If stavek Vsi dosedanji programi so se izvajali zaporedoma, ni bilo nobenih vejitev Program razvejimo na osnovi odločitev pogojnega

Prikaži več

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Žiga Mlakar PROGRAMIRLJIVE STRUKTURE FPGA NIZKE KOMPLEKSNOSTI Diplomsko delo Maribor, september 2014 PROGRAMIRLJIVE STRUKTURE

Prikaži več

Microsoft Word - CNC obdelava kazalo vsebine.doc

Microsoft Word - CNC obdelava kazalo vsebine.doc ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo mesto, april 2008 Ime in priimek študenta ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo

Prikaži več

seminarska_naloga_za_ev

seminarska_naloga_za_ev Univerza v Ljubljani Fakulteta za elektrotehniko Matevž Seliger 8-kanalni Lightshow Seminarska naloga pri predmetu: V Horjulu, junij 2008 Kazalo: 1 Uvod... 3 1.1 Namen in uporaba izdelka... 3 2 Delovanje...

Prikaži več

Microsoft PowerPoint - Java_spremenljivke

Microsoft PowerPoint - Java_spremenljivke Java Spremenljivke, prireditveni stavek Spremenljivke Prostor, kjer hranimo vrednosti Ime Znak, števka, _ Presledkov v imenu ne sme biti! Tip spremenljivke int (cela števila) Vse spremenljivke napovemo

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 12. junij 2013 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

PowerPoint Presentation

PowerPoint Presentation I&R: P-X/1/15 operatorji, ki jih uporabljamo za delo z vektorskimi veličinami vektorski oklepaj [ ] ločnica med elementi vrstičnega vektorja je vejica, ali presledek ločnica med elementi stolpčnega vektorja

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 4 - AV 4 Linije LTSpice, simulacija elektronskih vezij VIN - LV 1 Rozman,Škraba, FRI LTSpice LTSpice: http://www.linear.com/designtools/software/ https://www.analog.com/en/design-center/design-tools-andcalculators/ltspice-simulator.html

Prikaži več

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampreht, Luka Stepančič, Igor Vizec, Boštjan Žankar Povzetek

Prikaži več

Microsoft Word - UP_Lekcija04_2014.docx

Microsoft Word - UP_Lekcija04_2014.docx 4. Zanka while Zanke pri programiranju uporabljamo, kadar moramo stavek ali skupino stavkov izvršiti večkrat zaporedoma. Namesto, da iste (ali podobne) stavke pišemo n-krat, jih napišemo samo enkrat in

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : Državni izpitni center *M17178111* SPOMLADANSKI IZPITNI ROK Izpitna pola 1 Četrtek, 1. junij 2017 / 90 minut Dovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Microsoft Word - NAVODILA ZA UPORABO.docx

Microsoft Word - NAVODILA ZA UPORABO.docx NAVODILA ZA UPORABO VODILO CCM-18A/N-E (K02-MODBUS) Hvala ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shranite za prihodnjo rabo. Vsebina

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Procesorji Model računalnika, mikrokrmilnik CPE = mikrosekvenčnik + podatkovna

Prikaži več

STAVKI _5_

STAVKI _5_ 5. Stavki (Teoremi) Vsebina: Stavek superpozicije, stavek Thévenina in Nortona, maksimalna moč na bremenu (drugič), stavek Tellegena. 1. Stavek superpozicije Ta stavek določa, da lahko poljubno vezje sestavljeno

Prikaži več

Strojna oprema

Strojna oprema Asistenta: Mira Trebar, Miha Moškon UIKTNT 2 Uvod v programiranje Začeti moramo razmišljati algoritmično sestaviti recept = napisati algoritem Algoritem za uporabo poljubnega okenskega programa. UIKTNT

Prikaži več

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni RAM stroj Nataša Naglič 4. junij 2009 1 RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni trak, pomnilnik ter program. Bralni trak- zaporedje

Prikaži več

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Trditev: idealni enosmerni tokovni vir obratuje z močjo

Prikaži več

Optimizacija z roji delcev - Seminarska naloga pri predmetu Izbrana poglavja iz optimizacije

Optimizacija z roji delcev - Seminarska naloga pri predmetu Izbrana poglavja iz optimizacije Univerza v Ljubljani Fakulteta za matematiko in fiziko Seminarska naloga pri predmetu Izbrana poglavja iz optimizacije 2. junij 2011 Koncept PSO Motivacija: vedenje organizmov v naravi Ideja: koordinirano

Prikaži več

COBISS3/Medknjižnična izposoja

COBISS3/Medknjižnična izposoja 3/Medknjižnična izposoja 2.2 KATALOG Katalog nam omogoča: iskanje gradiva prikaz izbranih bibliografskih zapisov ali pripadajočih podatkov o zalogi iz lokalne baze podatkov v formatu COMARC vpogled v stanje

Prikaži več

VPRAŠANJA ZA USTNI IZPIT PRI PREDMETU OSNOVE ELEKTROTEHNIKE II PREDAVATELJ PROF. DR. DEJAN KRIŽAJ Vprašanja so v osnovi sestavljena iz naslovov poglav

VPRAŠANJA ZA USTNI IZPIT PRI PREDMETU OSNOVE ELEKTROTEHNIKE II PREDAVATELJ PROF. DR. DEJAN KRIŽAJ Vprašanja so v osnovi sestavljena iz naslovov poglav VPRAŠANJA ZA USTNI IZPIT PRI PREDMETU OSNOVE ELEKTROTEHNIKE II PREDAVATELJ PROF. DR. DEJAN KRIŽAJ Vprašanja so v osnovi sestavljena iz naslovov poglavij v učbeniku Magnetika in skripti Izmenični signali.

Prikaži več

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila Programsko orodje LabVIEW za kreiranje, zajem in obdelavo signalov (statične in dinamične karakteristike hidravličnih proporcionalnih ventilov) Marko Šimic Telefon: +386 1 4771 727 e-mail: marko.simic@fs.uni-lj.si

Prikaži več

17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-p

17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-p 17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-prepustna in pasovno-zaporna. Frekvenčna sita gradimo

Prikaži več

Logični modul LOGO!

Logični modul LOGO! Logični modul LOGO! LOGO! Siemensov univerzalni logični modul LOGO! vsebuje: Krmilno enoto Enoto za prikaz in tipkovnico Napajalno vezje Vmesnik za spominski modul in PC kabel Funkcije, pripravljene za

Prikaži več

Učinkovita izvedba algoritma Goldberg-Tarjan Teja Peklaj 26. februar Definicije Definicija 1 Naj bo (G, u, s, t) omrežje, f : E(G) R, za katero v

Učinkovita izvedba algoritma Goldberg-Tarjan Teja Peklaj 26. februar Definicije Definicija 1 Naj bo (G, u, s, t) omrežje, f : E(G) R, za katero v Učinkovita izvedba algoritma Goldberg-Tarjan Teja Peklaj 26. februar 2009 1 Definicije Definicija 1 Naj bo (G, u, s, t) omrežje, f : E(G) R, za katero velja 0 f(e) u(e) za e E(G). Za v V (G) definiramo presežek

Prikaži več

C:/Users/Matevž Èrepnjak/Dropbox/FKKT/testi in izpiti/ /IZPITI/FKKT-februar-14.dvi

C:/Users/Matevž Èrepnjak/Dropbox/FKKT/testi in izpiti/ /IZPITI/FKKT-februar-14.dvi Kemijska tehnologija, Kemija Bolonjski univerzitetni program Smer: KT K WolframA: DA NE Računski del izpita pri predmetu MATEMATIKA I 6. 2. 2014 Čas reševanja je 75 minut. Navodila: Pripravi osebni dokument.

Prikaži več

REALIZACIJA ELEKTRONSKIH SKLOPOV

REALIZACIJA ELEKTRONSKIH SKLOPOV Načrtovanje zaemc = elektronike 2 1 Katedra za elektroniko 2 Čemu? 3 Kdo? Katedra za elektroniko 4 Izziv: DC/DC stikalni napajalnik navzdol U vhod Vhodno sito Krmilno integrirano vezje NMOSFET NMOSFET

Prikaži več

Microsoft Word - Analiza rezultatov NPZ matematika 2018.docx

Microsoft Word - Analiza rezultatov NPZ matematika 2018.docx Analiza dosežkov pri predmetu matematika za NPZ 28 6. razred NPZ matematika 28 Dosežek šole Povprečno število točk v % Državno povprečje Povprečno število točk v % Odstopanje v % 49,55 52,52 2,97 Povprečni

Prikaži več

Microsoft PowerPoint _12_15-11_predavanje(1_00)-IR-pdf

Microsoft PowerPoint _12_15-11_predavanje(1_00)-IR-pdf uporaba for zanke i iz korak > 0 oblika zanke: for i iz : korak : ik NE i ik DA stavek1 stavek2 stavekn stavek1 stavek2 stavekn end i i + korak I&: P-XI/1/17 uporaba for zanke i iz korak < 0 oblika zanke:

Prikaži več

Microsoft PowerPoint - IPPU-V2.ppt

Microsoft PowerPoint - IPPU-V2.ppt Informatizacija poslovnih procesov v upravi VAJA 2 Procesni pogled Diagram aktivnosti IPPU vaja 2; stran: 1 Fakulteta za upravo, 2006/07 Procesni pogled Je osnova za razvoj programov Prikazuje algoritme

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec 2.TRAN analiza (Analiza v časovnem prostoru) Iskanje odziva nelinearnega dinamičnega vezja v časovnem prostoru Prehodni pojavi Stacionarno

Prikaži več

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo Turingov stroj in programiranje Barbara Strniša 12. 4. 2010 1 Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolov (običajno Σ 2) Σ n = {s 1 s 2... s n ; s i Σ, i =

Prikaži več

1 Diskretni naklju ni vektorji 1 1 Diskretni naklju ni vektorji 1. Dopolni tabelo tako, da bosta X in Y neodvisni. X Y x x x x x

1 Diskretni naklju ni vektorji 1 1 Diskretni naklju ni vektorji 1. Dopolni tabelo tako, da bosta X in Y neodvisni. X Y x x x x x 1 Diskretni naklju ni vektorji 1 1 Diskretni naklju ni vektorji 1. Dopolni tabelo tako, da bosta X in Y neodvisni. X Y 0 1 2 1 1-1 x x 20 10 1 0 x x x 10 1 1 x x x 20 x x x 1 Dolo i ²e spremenljivko Z,

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Jernej Plankar IR vmesnik za prenos zvoka Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, avgust 2011 Jernej Plankar IR prenos zvoka 2 1 UVOD

Prikaži več

Vaje: Matrike 1. Ugani rezultat, nato pa dokaži z indukcijo: (a) (b) [ ] n 1 1 ; n N 0 1 n ; n N Pokaži, da je množica x 0 y 0 x

Vaje: Matrike 1. Ugani rezultat, nato pa dokaži z indukcijo: (a) (b) [ ] n 1 1 ; n N 0 1 n ; n N Pokaži, da je množica x 0 y 0 x Vaje: Matrike 1 Ugani rezultat, nato pa dokaži z indukcijo: (a) (b) [ ] n 1 1 ; n N n 1 1 0 1 ; n N 0 2 Pokaži, da je množica x 0 y 0 x y x + z ; x, y, z R y x z x vektorski podprostor v prostoru matrik

Prikaži več

rm.dvi

rm.dvi 1 2 3 4 5 6 7 Ime, priimek Razred 14. DRŽAVNO TEKMOVANJE V RAZVEDRILNI MATEMATIKI NALOGE ZA PETI IN ŠESTI RAZRED OSNOVNE ŠOLE Čas reševanja nalog: 90 minut Točkovanje 1., 2., in 7. naloge je opisano v

Prikaži več

5 SIMPLICIALNI KOMPLEKSI Definicija 5.1 Vektorji r 0,..., r k v R n so afino neodvisni, če so vektorji r 1 r 0, r 2 r 0,..., r k r 0 linearno neodvisn

5 SIMPLICIALNI KOMPLEKSI Definicija 5.1 Vektorji r 0,..., r k v R n so afino neodvisni, če so vektorji r 1 r 0, r 2 r 0,..., r k r 0 linearno neodvisn 5 SIMPLICIALNI KOMPLEKSI Definicija 5.1 Vektorji r 0,..., r k v R n so afino neodvisni, če so vektorji r 1 r 0, r 2 r 0,..., r k r 0 linearno neodvisni. Če so krajevni vektorji do točk a 0,..., a k v R

Prikaži več

Microsoft PowerPoint - Sirikt-SK-FV.ppt

Microsoft PowerPoint - Sirikt-SK-FV.ppt E-učbeniki za izbrane naravoslovno-tehniške predmete E-books for selected science and technical subjects Slavko KOCIJANČIČ Univerza v Ljubljani, Pedagoška fakulteta slavko.kocijancic@pef.uni-lj.si Franc

Prikaži več

4.Racionalna števila Ulomek je zapis oblike. Sestavljen je iz števila a (a ), ki ga imenujemo števec, in iz števila b (b, b 0), ki ga imenujemo imenov

4.Racionalna števila Ulomek je zapis oblike. Sestavljen je iz števila a (a ), ki ga imenujemo števec, in iz števila b (b, b 0), ki ga imenujemo imenov 4.Racionalna števila Ulomek je zapis oblike. Sestavljen je iz števila a (a ), ki ga imenujemo števec, in iz števila b (b, b 0), ki ga imenujemo imenovalec, ter iz ulomkove črte. Racionalna števila so števila,

Prikaži več

60-77.qxd

60-77.qxd Tehnolo{ki napredek v naj{ir{em pomenu je pogosto povezan z razli~nimi oblikami nevarnosti in tveganj tako nami{ljenih kot dejanskih. Industrijska, komercialna in doma~a uporaba izdelkov, ki povzro~ajo

Prikaži več

Vgrajeni sistemi Uvod & ponovitev C

Vgrajeni sistemi Uvod & ponovitev C Analogno-digitalna pretvorba Vgrajeni sistemi 2015/16 Rok Češnovar STM32F4 in ADC imamo 3 ADC naprave (ADC1, ADC2, ADC3) vsaka naprava ima 16 vhodov 8 vhodov je vezanih na vse 3 naprave 8 vhodov je vezanih

Prikaži več

Slide 1

Slide 1 Vsak vektor na premici skozi izhodišče lahko zapišemo kot kjer je v smerni vektor premice in a poljubno število. r a v Vsak vektor na ravnini skozi izhodišče lahko zapišemo kot kjer sta v, v vektorja na

Prikaži več

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 II Diplomsko delo visokošolskega strokovnega študijskega programa DETEKTOR FAZNE NAPAKE OPTIČNEGA ENKODERJA

Prikaži več

PRIPOROČILA ZA OBLIKOVANJE KATALOGOV ZNANJA ZA MODULE V PROGRAMIH VIŠJEGA STROKOVNEGA IZOBRAŽEVANJA

PRIPOROČILA ZA OBLIKOVANJE KATALOGOV ZNANJA ZA MODULE V PROGRAMIH VIŠJEGA STROKOVNEGA IZOBRAŽEVANJA KATALOG ZNANJA 1. IME PREDMETA ZBIRKE PODATKOV I ZBIRKE PODATKOV II 2. SPLOŠNI CILJI Splošni cilji predmeta so: razvijanje sposobnosti za uporabo znanstvenih metod in sredstev, razvijanje odgovornosti

Prikaži več

Šolski center celje

Šolski center celje ŠOLSKI CENTER CELJE Gimnazija Lava DVORIŠČNA VRATA NA DALJINSKO UPRAVLJANJE MENTOR: Matjaž Cizej, univ. dipl. inž. AVTOR: Roman Leban, L-4.F Celje, marec 2010 KAZALO VSEBINE 1 POVZETEK /SUMMARY... 1 2

Prikaži več

Microsoft Word - EV,N_Poglavje o modulacijah.doc

Microsoft Word - EV,N_Poglavje o  modulacijah.doc E,VN- Elektronska vezja, naprave 8 MODULACIJSKE TEHNIKE Modulacijske tehnike 8.1 SPLOŠNO O MODULACIJAH Modulacija je postopek, ki omogoča zapis koristnega signala na nosilni signal. Za nosilni signal je

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 11. junij 2014 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

GHOSTBUSTERS navodila za učitelje O PROJEKTU S tem projektom se učenci sami naučijo izdelati igro. Ustvariti morajo več ikon (duhcov ali kaj drugega)

GHOSTBUSTERS navodila za učitelje O PROJEKTU S tem projektom se učenci sami naučijo izdelati igro. Ustvariti morajo več ikon (duhcov ali kaj drugega) GHOSTBUSTERS navodila za učitelje O PROJEKTU S tem projektom se učenci sami naučijo izdelati igro. Ustvariti morajo več ikon (duhcov ali kaj drugega) in za vsako napisati svojo kodo. Dve ikoni imata isto

Prikaži več

DN5(Kor).dvi

DN5(Kor).dvi Koreni Število x, ki reši enačbo x n = a, imenujemo n-ti koren števila a in to označimo z n a. Pri tem je n naravno število, a pa poljubno realno število. x = n a x n = a. ( n a ) n = a. ( n a ) m = n

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Mario Trifković Programljivi 6 Timer Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, junij 2009 Mario Trifković Programljivi 6 Timer 2 1.

Prikaži več

Uvod v programiranje mikrokrmilnikov, zbrano gradivo za predavanja

Uvod v programiranje mikrokrmilnikov, zbrano gradivo za predavanja Spoznali boste osnovni 8-bitni časovnik. Naučili se ga boste konfigurirati in generirati natančne časovne intervale s pomočjo prekinitev. Modul Timer0 je 8-bitni časovnik/števec [2][6][8] z naslednjimi

Prikaži več

UM FKKT, Bolonjski visoko²olski program Kemijska tehnologija Vpisna ²tevilka Priimek, ime 3. test pri predmetu MATEMATIKA II Ra unski del

UM FKKT, Bolonjski visoko²olski program Kemijska tehnologija Vpisna ²tevilka Priimek, ime 3. test pri predmetu MATEMATIKA II Ra unski del UM FKKT, Bolonjski visoko²olski program Kemijska tehnologija Vpisna ²tevilka Priimek, ime 3. test pri predmetu MATEMATIKA II Ra unski del 13. 6. 2016 Navodila: Pripravi osebni dokument. Ugasni in odstrani

Prikaži več

Microsoft Word - Varnostna navodila - ucilo2.doc

Microsoft Word - Varnostna navodila - ucilo2.doc www.ps-log.si PS, d.o.o., Logatec Kalce 38b 1370 Logatec Asinhronski motor s frekvennim regulatorjem SKLOP: Elektromotorni pogoni, regulatorji, merilniki Navodila za varno delo Logatec, november 2007 KAZALO

Prikaži več

LABORATORIJSKE VAJE IZ FIZIKE

LABORATORIJSKE VAJE IZ FIZIKE UVOD LABORATORIJSKE VAJE IZ FIZIKE V tem šolskem letu ste se odločili za fiziko kot izbirni predmet. Laboratorijske vaje boste opravljali med poukom od začetka oktobra do konca aprila. Zunanji kandidati

Prikaži več

Installation manuals

Installation manuals /7 [6.8.2] =... ID66F4 Upoštevne enote EWAQ6BAVP EWAQ8BAVP EWYQ6BAVP EWYQ8BAVP Opombe (*) EWYQ* (*2) EWAQ* 4P47868- - 27.2 2/7 Uporab. nastavitve Prednastavljene vred. Temp. prostora 7.4.. Udobno (ogrevanje)

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 5 - LV 1 Meritve dolžine in karakteristične impedance linije VIN - LV 1 Rozman,Škraba, FRI Model linije Rs Z 0, Vs u i u l R L V S - Napetost izvora [V] R S -

Prikaži več

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s 1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni smeri obnaša kot nelinearen kondenzator, ki mu z višanjem

Prikaži več

Izmenični signali – metode reševanja vezij

Izmenični signali – metode reševanja vezij Izmenicni sinali_metode_resevanja (1d).doc 1/10 8/05/007 Izmenični sinali metode reševanja vezij (1) Načine analize enosmernih vezij smo že spoznali. Pri vezjih z izmeničnimi sinali lahko uotovimo, da

Prikaži več

Izmenicni_signali_metode_resevanja(23)

Izmenicni_signali_metode_resevanja(23) zmenični sinali metode reševanja vezij Vsebina polavja: Metode za analizo vezij z izmeničnimi sinali (metoda Kirchoffovih zakonov, metoda zančnih tokov, metoda spojiščnih potencialov), stavki (superpozicije,

Prikaži več

Mladi za napredek Maribora srečanje DOLŽINA»SPIRALE«Matematika Raziskovalna naloga Februar 2015

Mladi za napredek Maribora srečanje DOLŽINA»SPIRALE«Matematika Raziskovalna naloga Februar 2015 Mladi za napredek Maribora 015 3. srečanje DOLŽINA»SPIRALE«Matematika Raziskovalna naloga Februar 015 Kazalo 1. Povzetek...3. Uvod...4 3. Spirala 1...5 4. Spirala...6 5. Spirala 3...8 6. Pitagorejsko drevo...10

Prikaži več

(Microsoft Word - 3. Pogre\232ki in negotovost-c.doc)

(Microsoft Word - 3. Pogre\232ki in negotovost-c.doc) 3.4 Merilna negotovost Merilna negotovost je parameter, ki pripada merilnem rezltat. Označje razpršenost vrednosti, ki jih je mogoče z določeno verjetnostjo pripisati merjeni veličini. Navaja kakovost

Prikaži več

6.1 Uvod 6 Igra Chomp Marko Repše, Chomp je nepristranska igra dveh igralcev s popolno informacijo na dvo (ali vec) dimenzionalnem prostoru

6.1 Uvod 6 Igra Chomp Marko Repše, Chomp je nepristranska igra dveh igralcev s popolno informacijo na dvo (ali vec) dimenzionalnem prostoru 6.1 Uvod 6 Igra Chomp Marko Repše, 30.03.2009 Chomp je nepristranska igra dveh igralcev s popolno informacijo na dvo (ali vec) dimenzionalnem prostoru in na končni ali neskončni čokoladi. Igralca si izmenjujeta

Prikaži več

C:/Users/Matevž Èrepnjak/Dropbox/FKKT/TESTI-IZPITI-REZULTATI/ /Izpiti/FKKT-junij-17.dvi

C:/Users/Matevž Èrepnjak/Dropbox/FKKT/TESTI-IZPITI-REZULTATI/ /Izpiti/FKKT-junij-17.dvi Vpisna številka Priimek, ime Smer: K KT WA Izpit pri predmetu MATEMATIKA I Računski del Ugasni in odstrani mobilni telefon. Uporaba knjig in zapiskov ni dovoljena. Dovoljeni pripomočki so: kemični svinčnik,

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 51 08 22 www.conrad.si NAVODILA ZA UPORABO Vtični napajalnik Dehner SYS1308 15~24 W Kataloška št.: 51 08 22 Osnovne informacije Država proizvajalka:... Kitajska

Prikaži več

1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatk

1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatk 1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatkov in njihov prenos med spletnimi mesti. Obrazec v

Prikaži več

NAVODILA AVTORJEM PRISPEVKOV

NAVODILA AVTORJEM PRISPEVKOV Predmetna komisija za nižji izobrazbeni standard matematika Opisi dosežkov učencev 6. razreda na nacionalnem preverjanju znanja Slika: Porazdelitev točk pri matematiki (NIS), 6. razred 1 ZELENO OBMOČJE

Prikaži več

Univerza v Mariboru Fakulteta za naravoslovje in matematiko Oddelek za matematiko in računalništvo Enopredmetna matematika IZPIT IZ VERJETNOSTI IN STA

Univerza v Mariboru Fakulteta za naravoslovje in matematiko Oddelek za matematiko in računalništvo Enopredmetna matematika IZPIT IZ VERJETNOSTI IN STA Enopredmetna matematika IN STATISTIKE Maribor, 31. 01. 2012 1. Na voljo imamo kovanca tipa K 1 in K 2, katerih verjetnost, da pade grb, je p 1 in p 2. (a) Istočasno vržemo oba kovanca. Verjetnost, da je

Prikaži več

VIN Lab 1

VIN Lab 1 Vhodno izhodne naprave Laboratorijska vaja 1 - AV 1 Signali, OE, Linije VIN - LV 1 Rozman,Škraba, FRI Laboratorijske vaje VIN Ocena iz vaj je sestavljena iz ocene dveh kolokvijev (50% ocene) in iz poročil

Prikaži več

Microsoft Word - diploma-b.doc

Microsoft Word - diploma-b.doc UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAUNALNIŠTVO IN INFORMATIKO Janko HERLAH PRAKTINA UPORABA ALGORITMOV STISKANJA PODATKOV Diplomska naloga Maribor, Julij 009 I UNIVERZA V MARIBORU FAKULTETA

Prikaži več

Microsoft Word - M

Microsoft Word - M Državni izpitni center *M773* SPOMLADANSKI IZPITNI ROK NAVODILA ZA OCENJEVANJE Četrtek, 4. junij SPLOŠNA MATRA RIC M-77--3 IZPITNA POLA ' ' Q Q ( Q Q)/ Zapisan izraz za naboja ' ' 6 6 6 Q Q (6 4 ) / C

Prikaži več

Brownova kovariancna razdalja

Brownova kovariancna razdalja Brownova kovariančna razdalja Nace Čebulj Fakulteta za matematiko in fiziko 8. januar 2015 Nova mera odvisnosti Motivacija in definicija S primerno izbiro funkcije uteži w(t, s) lahko definiramo mero odvisnosti

Prikaži več

(Microsoft PowerPoint - vorsic ET 9.2 OES matri\350ne metode 2011.ppt [Compatibility Mode])

(Microsoft PowerPoint - vorsic ET 9.2 OES matri\350ne metode 2011.ppt [Compatibility Mode]) 8.2 OBRATOVANJE ELEKTROENERGETSKEGA SISTEMA o Matrične metode v razreševanju el. omrežij Matrične enačbe električnih vezij Numerične metode za reševanje linearnih in nelinearnih enačb Sistem algebraičnih

Prikaži več

Microsoft Word - vaje2_ora.doc

Microsoft Word - vaje2_ora.doc II UKAZI 1. Napišite zaporedje ukazov, ki vrednost enobajtne spremenljivke STEV1 prepiše v enobajtno spremenljivko STEV2. Nalogo rešite z neposrednim naslavljanjem (zaporedje lahko vsebuje le 2 ukaza v

Prikaži več

PowerPoint Presentation

PowerPoint Presentation RAK: P-II//9 NUMERIČNI MODE esatno reševanje: reševanje dierencialni enačb aprosimativno reševanje: metoda ončni razli (MKR) inite dierence metod (FDM) metoda ončni elementov (MKE) inite element metod

Prikaži več

EKVITABILNE PARTICIJE IN TOEPLITZOVE MATRIKE Aleksandar Jurišić Politehnika Nova Gorica in IMFM Vipavska 13, p.p. 301, Nova Gorica Slovenija Štefko Mi

EKVITABILNE PARTICIJE IN TOEPLITZOVE MATRIKE Aleksandar Jurišić Politehnika Nova Gorica in IMFM Vipavska 13, p.p. 301, Nova Gorica Slovenija Štefko Mi EKVITABILNE PARTICIJE IN TOEPLITZOVE MATRIKE Aleksandar Jurišić Politehnika Nova Gorica in IMFM Vipavska 13, p.p. 301, Nova Gorica Slovenija Štefko Miklavič 30. okt. 2003 Math. Subj. Class. (2000): 05E{20,

Prikaži več

Orodje za izvoz podatkov

Orodje za izvoz podatkov Pomoč uporabnikom -NA-SI-200, V6.13-00 IZUM, 2018 COBISS, COMARC, COBIB, COLIB, IZUM so zaščitene znamke v lasti javnega zavoda IZUM. KAZALO VSEBINE 1 Uvod... 1 2 Predstavitev orodja za izvoz podatkov...

Prikaži več

Osnove verjetnosti in statistika

Osnove verjetnosti in statistika Osnove verjetnosti in statistika Gašper Fijavž Fakulteta za računalništvo in informatiko Univerza v Ljubljani Ljubljana, 26. februar 2010 Poskus in dogodek Kaj je poskus? Vržemo kovanec. Petkrat vržemo

Prikaži več