5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (

Velikost: px
Začni prikazovanje s strani:

Download "5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD ("

Transkripcija

1 5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (angl. Complex Programmable Logic Device) so manjša in zgrajena iz enostavnih SPLD blokov, ki vsebujejo programirljivo matriko PAL ali PLA. Slika 5.1 predstavlja blokovno shemo vezja CPLD. Kombinacijski izhodi matrike PLA so vezani na makrocelice (MC) znotraj katerih so pomnilni gradniki, s katerimi naredimo sekvenčna vezja. Signali so povezani preko vhodno/izhodnih (I/O) celic na zunanje priključke ali pa na povezovalno mrežo (angl. Interconnect Array), ki med seboj povezuje posamezne SPLD bloke. Slika 5.1: Blokovna shema vezja CPLD. Pri programiranju vezja se določijo povezave v matriki PLA, povezave v makrocelicah in I/O blokih ter povezovalni matriki. S takšno strukturo lahko naredimo poljubna digitalna vezja, omejeni smo le z velikostjo CPLD gradnika. Vezja CPLD omogočajo izdelavo logičnih vezij z nekaj 51

2 52 POGLAVJE 5. PROGRAMIRLJIVA VEZJA 1000 logičnimi vrati in nekaj 100 flip-flopi, ki delujejo pri frekvencah ure do okoli 200 MHz. Imajo programski pomnilnik vrste FLASH, ki ga lahko večkrat zapišemo in ohrani vsebino ob izklopu napajanja. Naštejmo nekaj prednosti programirljivih vezij CPLD pred ostalimi tehnologijami: enostaven razvoj prototipa vezja, ki ga opišemo na računalniku, prevedemo in naložimo na razvojni sistem, nizki stroški razvoja v primerjavi z integriranimi vezji, ki bi jih ob vsaki spremembi na novo naredili v tovarni polprevodnikov, omogočajo hiter razvoj izdelka, saj je danes zelo pomembno da nov izdelek čim hitreje spravimo na tržišče, zmanjšanje komponent na tiskanem vezju - namesto množice logičnih gradnikov v obliki posameznih integriranih vezij lahko vse skupaj naredimo z enim vezjem CPLD (najmanjše med vezji CPLD ima 32 priključkov v 5 5 mm ohišju), proizvajalci naprav ne potrebujejo velikih zalog komponent v življenjski dobi naprave, saj lahko načrt vezja hitro prenesemo v drugo programirljivo vezje, ki se bo obnašalo enako. V knjižnicah programirljivih vezij najdemo še celo vrsto vnaprej pripravljenih gradnikov, ki jih nismo opisali. Razdeljeni so v več kategorij, znotraj katerih so gradniki s podobnimi lastnostmi. Tabela 5.1 prikazuje kategorije gradnikov za programirljiva vezja CPLD Coolrunner- II, njihove angleške oznake in število v posamezni kategoriji. Za risanje logične sheme imamo na voljo več kot 300 različnih elementov, med katerimi so: ojačevalniki so potrebni na vsakem vhodu in izhodu integriranega vezja, uporabimo jih tudi za ločevanje signalov v shemi, logična vrata imajo 2-8 vhodov v normalni ali invertirani obliki, binarni izbiralniki in dekodirniki, kombinacijski seštevalniki in odštevalniki ter sekvenčni akumulatorji, primerjalniki, ki primerjajo številske vrednosti dveh večbitnih signalov, kombinacijski pomikalniki, ki premaknejo večbitni signal za poljubno število bitov, zapahi in flip-flopi z različnimi kontrolnimi signali, sestavljeni števci z različnimi načini delovanja, pomikalni registri in delilniki ure, ki so posebne vrste števcev.

3 5.2. PROGRAMIRLJIVE MATRIKE - FPGA 53 Kategorija angl. oznaka (število) Vrsta gradnikov Ojačevalniki Buffer (15) ojačenje ali delitev signalov Vhodno/izhodni IO (17) ojačevalniki na priključkih Logična vrata Logic (108) Boolova logična vrata INV, AND2, OR2, AND3... Izbiralniki Mux (7) izbiralniki M2 1, M4 2E, M8 3E... Dekodirniki Decoder binarni dekodirniki D2 4E, D3 8E... Aritmetični Arithmetic (12) seštevalniki, odštevalniki, akumulatorji Primerjalniki Comparator (8) primerjalniki enakosti in magnitude Pomikalniki Shifter (2) 4-bitni in 8-bitni pomikalnik Zapahi Latch (11) zapahi LD, Data Gate LDG... Flip-flopi Flip-Flop (76) robno proženi flip-flopi FD, FD8, FDCE... Števci Counter (70) 2-, 4-8- in 16-bitni števci Pomikalni registri Shift Register (36) 4-, 8- in 16-bitni pomikalni registri Delilniki ure Clock Divider (32) 2-, 4-, 6-, 8-, 10-, 12-, 14- in 16-bitni delilniki Tabela 5.1: Kategorizacija gradnikov v programirljivih vezjih. 5.2 Programirljive matrike - FPGA Vezja z oznako FPGA (angl. Field Programmable Gate Array) so narejena v obliki matrike logičnih celic, med katerimi je programirljivo povezovalno polje, kot prikazuje slika 5.2. Logične celice vsebujejo tabele za izvedbo majhnih kombinacijskih gradnikov in flip-flope. Okoli programirljive matrike so še vhodno/izhodne celice, ki povezujejo signale na zunanje priključke. Vezja FPGA imajo v matriki veliko število celic in največja med njimi omogočajo izdelavo vezij z več kot 10 milijoni logičnih vrat! Poznamo različne tehnološke izvedbe, največ pa jih je v standardnem CMOS procesu, kjer se programski podatki zapišejo v zapahe. Zapah ob izklopu napajanja izgubi shranjeno stanje, zato imamo poleg vezja FPGA na plošči še pomnilnik iz katerega se ob startu naloži vsebina. 5.3 Načrtovanje s programirljivimi vezji Postopek načrtovanja vezja začnemo z opisom vezja v grafični obliki (npr. shema) ali v nekem jeziku za opis strojne opreme. Delovanje opisanega vezja lahko preverimo oz. verificiramo s simulacijo. Naslednji korak pri načrtovanju s programirljivimi vezji je implementacija, s katero pripravimo datoteko za nalaganje v programirljivo vezje, kot prikazuje slika 5.3. Ko vezje naložimo, preverimo delovanje na strojni opremi (npr. na razvojnem sistemu). Ta postopek imenujemo strojna verifikacija. Delo razvojnega inženirja je predvsem opis vezja in priprava verifikacije. Precej zapleten postopek implementacije vezja, s katero logično vezje prevedemo, prilagodimo in pretvorimo v programsko datoteko, je na srečo avtomatiziran. Programska oprema za računalniško načrtovanje vezij zahteva le nekaj nastavitev, da se implementacija izvede pod želenimi pogoji. Rezultat implementacije lahko ponovno verificiramo s simulacijo, ki tokrat vsebuje tudi ocenjene zakasnitve

4 54 POGLAVJE 5. PROGRAMIRLJIVA VEZJA Slika 5.2: Blokovna shema vezja FPGA. gradnikov vezja. Postopek nalaganja programske datoteke v programirljivo vezje je odvisen od strojne opreme in izvedbe komunikacije z računalnikom. Običajno ta korak ni zahteven, saj moramo le izbrati pravilne nastavitve in datoteko, ki jo programska oprema naloži v vezje. Postopek strojne verifikacije je odvisen predvsem od razvite aplikacije (nalog, ki jih vezje izvaja). V najbolj preprosti obliki zadošča ročno nastavljanje signalov in vizualni pregled delovanja v zahtevnejših primerih pa potrebujemo posebno merilno opremo Opis vezja Programska oprema za računalniško načrtovanje vezij pozna različne načine vnosa vezja, ki jih v grobem razdelimo v grafični in jezikovni opis. Primer grafičnega opisa je shema vezja. Shemo narišemo v grafičnem urejevalniku s postavljanjem elementov iz knjižnice digitalnih gradnikov in risanjem povezav. V tem poglavju bomo spoznali še en grafični opis vezja v obliki diagrama stanj. Jezikovni opis logičnega vezja predstavljajo npr. Boolove enačbe. Jezik za opis strojne opreme HDL (angl. Hardware Description Language) določa pravila takšnega opisa. V današnjem času se uporabljata predvsem jezika VHDL in Verilog, ki omogočata opis vezja z enačbami ali pa v obliki algoritma.

5 5.3. NAČRTOVANJE S PROGRAMIRLJIVIMI VEZJI 55 Slika 5.3: Osnovni koraki načrtovanja digitalnih vezij. Slika 5.4: Načini opisa digitalnega vezja. Programska oprema datoteke z grafičnim opisom avtomatsko prevede v jezikovno obliko (HDL), ki je osnova za izvedbo simulacije in ostalih korakov prevajanja, kot prikazuje slika 5.4. Jezikovni opis vezja v koraku sinteze pretvorimo v datoteko, ki vsebuje vse elemente končnega vezja in povezave med njimi (angl. netlist). Ta datoteka je osnova za implementacijo vezja. Primer 4.1 Prikazali bomo različne načine opisa vezja 2-bitnega števca. Števec ima vhod za omogočanje en in uro clk. Ob prednji fronti ure naj se vrednost na izhodnem signalu cnt poveča za 1. Izpis 5.1 predstavlja opis števca v jeziku VHDL, kjer uporabimo proces v katerem se vrednost signala cnt poveča ob naraščajoči fronti ure in pogoju en = 1. Slika 5.5 prikazuje shematski opis števca, ki je sestavljen iz dveh seštevalnikov in flip-flopov. Shemo vezja programska oprema pretvori v strukturni opis v jeziku VHDL ali pa Verilog, kot je prikazano na izpisu 5.2.

6 56 POGLAVJE 5. PROGRAMIRLJIVA VEZJA Listing 5.1: Opis 2-bitnega števca v jeziku VHDL e n t i t y s t e v e c i s Port ( c l k : in STD LOGIC ; en : in STD LOGIC ; c n t : b u f f e r STD LOGIC VECTOR(1 downto 0 ) ) ; end s t e v e c ; a r c h i t e c t u r e o p i s of s t e v e c i s begin p : p r o c e s s ( c l k ) begin i f r i s i n g e d g e ( c l k ) and en = 1 then c n t <= c n t + 1 ; end i f ; end p r o c e s s ; end o p i s ; Slika 5.5: Shematski opis 2-bitnega števca.

7 5.3. NAČRTOVANJE S PROGRAMIRLJIVIMI VEZJI 57 module c n t ( clk, en, cnt0, c n t 1 ) ; input clk, en ; output cnt0, c n t 1 ; Listing 5.2: HDL datoteka iz sheme vezja (Verilog) wire XLXN 1, XLXN 2, XLXN 3, XLXN 8, XLXN 9 ; wire cnt0 DUMMY, cnt1 DUMMY ; a s s i g n c n t 0 = cnt0 DUMMY ; a s s i g n c n t 1 = cnt1 DUMMY ; ADD1 MXILINX cnt XLXI 1 (. A0 ( cnt0 DUMMY ),. B0 ( XLXN 9 ),. CI ( ),.CO( XLXN 1 ),. S0 ( XLXN 2 ) ) ; ADD1 MXILINX cnt XLXI 2 (. A0 ( cnt1 DUMMY ),. B0 ( XLXN 8 ),. CI ( XLXN 1 ),.CO( ),. S0 ( XLXN 3 ) ) ; FDCE XLXI 3 (. C( c l k ),. CE( en ),. CLR ( ),.D( XLXN 2 ),.Q( cnt0 DUMMY ) ) ; FDCE XLXI 4 (. C( c l k ),. CE( en ),. CLR ( ),.D( XLXN 3 ),.Q( cnt1 DUMMY ) ) ; VCC XLXI 5 (. P ( XLXN 9 ) ) ; GND XLXI 6 (. G( XLXN 8 ) ) ; endmodule Delovanje sekvenčnega vezja, kot je 2-bitni števec, lahko opišemo tudi z diagramom stanj. Diagram prikazuje stanja v registrih vezja in pogoje za prehode. Slika 5.6 predstavlja diagram stanj s štirimi stanji: STATE0, STATE1, STATE2 in STATE3, ki predstavljajo kombinacije na izhodu števca od 00 do 11. Puščice med stanji predstavljajo prehode. Pogoj za prehod v novo stanje je vedno fronta ure in pogoj na puščici en = 1. Signal reset določa začetno stanje. Programska oprema prevede grafični opis diagrama stanj v opis vezja (HDL), ki je primeren za

8 58 POGLAVJE 5. PROGRAMIRLJIVA VEZJA verifikacijo ali implementacijo. Slika 5.6: Diagram stanj 2-bitnega števca Verifikacija Računalniška simulacija modela vezja je osnovni postopek preverjanja oz. verifikacije vezja. Simulacijo pripravimo tako, da določimo časovni potek spreminjanja signalov na vhodu vezja. Časovni potek vhodnih signalov določimo v testni strukturi (angl. test bench) in je zapisan v grafični obliki, v jeziku HDL ali pa v obliki simulacijskih makrojev. Ko je testna struktura pripravljena, poženemo simulator in pregledamo rezultate na časovnem diagramu signalov (angl. waveform). Slika 5.7 prikazuje časovni diagram simulacije 2-bitnega števca. V testni strukturi smo nastavili uro in signal en, opazujemo pa vrednost izhoda cnt. Večbitne signale, kot je cnt(1 : 0), lahko opazujemo v obliki dvojiških, desetiških ali šestnajstiških številskih vrednosti, možen pa je tudi prikaz posameznih bitov cnt(1) in cnt(0). Slika 5.7: Rezultat simulacije 2-bitnega števca. S pregledom časovnega diagrama ugotovimo, ali se vezje obnaša tako, kot smo pričakovali. V testni strukturi lahko tudi vnaprej predpišemo pričakovane vrednosti izhodov ali pa določimo pravila spreminjanja signalov in tako avtomatiziramo postopek verifikacije. Če želimo z verifikacijo res preveriti delovanje vezja, moramo dobro poznati signale, ki jih lahko pričakujemo na vhodu realnega vezja in pripraviti testne strukture za veliko različnih primerov.

9 5.3. NAČRTOVANJE S PROGRAMIRLJIVIMI VEZJI Implementacija Slika 5.8: Priprava implementacije vezja. Pred avtomatsko implementacijo moramo podati zahteve oz. datoteko z omejitvami (angl. constraints file) v kateri določimo položaje zunanjih priključkov, lahko pa tudi notranjih gradnikov ali želene časovne parametre končnega vezja. Rezultat implementacije je programska datoteka, ki jo naložimo v programirljivo vezje. Delni rezultat implementacije je lahko tudi ponoven jezikovni opis vezja (HDL), ki pa sedaj vsebuje še ocenjene zakasnitve in ga uporabimo za bolj natančno verifikacijo vezja po implementaciji Nivoji opisa vezij Programska oprema za računalniško načrtovanje digitalnih vezij omogoča opisovanje vezij na različnih nivojih. Čeprav so vezja fizično narejena iz osnovnih stikalnih gradnikov - transistorjev, digitalna vezja raje obravnavamo na nivoju logičnih vrat ali pa na višjih nivojih, kot so prikazani v tabeli 5.2. Načini opisa so grafični v obliki sheme ali diagrama ali pa jezikovni v obliki enačb ali HDL kode. Orodja za analizo in obravnavo vezij so simulatorji, na višjih nivojih opisa pa tudi orodja, ki izvajajo sintezo opisa na nivo logičnih vrat. Nivo opisa transistorji logična vrata gradniki registri - RTL primer PMOS AND, OR izbiralnik števec, avtomat način opisa shema stikal shema, HDL blok. shema, HDL diagram, HDL orodja simulator vezij log. simulator HDL sim. in sinteza HDL sim. in sinteza velikost 20 stikal 20 vrat 10 gradnikov 10 registrov, stanj št. log. vrat Tabela 5.2: Nivoji opisa digitalnih sistemov. Višji nivoji opisa uporabljajo manj podrobne, poenostavljene (abstraktne) modele, ki omogočajo opis večjih vezij z manj elementi. Tipično število elementov, ki jih opišemo na enem listu je nekaj 10, z uporabo modelov na višjem nivoju pa lahko hitro opišemo vezje z nekaj 1000 logičnimi vrati. Za večja vezja uporabljamo hierarhičen način načrtovanja, pri katerem kompleksno vezje razdelimo na več sklopov, ki jih ločeno opišemo in kasneje povežemo med seboj.

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Model vezja Računalniški model in realno vezje Model logičnega negatorja Načini

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Osnove jezika VHDL Strukturno načrtovanje in testiranje Struktura vezja s komponentami

Prikaži več

DES11_realno

DES11_realno Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Delovanje realnega vezja Omejitve modela vezja 1 Model v VHDLu je poenostavljeno

Prikaži več

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funkciji izbiralnika. Tisti od 2 n izhodov y 0,.., y 2

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Digitalni sistemi Vgrajeni digitalni sistemi Digitalni sistem: osebni računalnik

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Zaporedni vmesniki Zaporedni (serijski) vmesniki Zaporedni (serijski) vmesniki

Prikaži več

CelotniPraktikum_2011_verZaTisk.pdf

CelotniPraktikum_2011_verZaTisk.pdf Elektrotehniški praktikum Osnove digitalnih vezij Namen vaje Videti, kako delujejo osnovna dvovhodna logi na vezja v obliki integriranih vezij oziroma, kako opravljajo logi ne funkcije Boolove algebre.

Prikaži več

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Žiga Mlakar PROGRAMIRLJIVE STRUKTURE FPGA NIZKE KOMPLEKSNOSTI Diplomsko delo Maribor, september 2014 PROGRAMIRLJIVE STRUKTURE

Prikaži več

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvantnih celičnih avtomatov SEMINARSKA NALOGA Univerzitetna

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Programirljivi Digitalni Sistemi Digitalni sistem Digitalni sistemi na integriranem vezju Digitalni sistem

Prikaži več

Slide 1

Slide 1 Tehnike programiranja PREDAVANJE 10 Uvod v binarni svet in računalništvo (nadaljevanje) Logične operacije Ponovitev in ilustracija Logične operacije Negacija (eniški komplement) Negiramo vse bite v besedi

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 4 - AV 4 Linije LTSpice, simulacija elektronskih vezij VIN - LV 1 Rozman,Škraba, FRI LTSpice LTSpice: http://www.linear.com/designtools/software/ https://www.analog.com/en/design-center/design-tools-andcalculators/ltspice-simulator.html

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : ržavni izpitni center *M15178112* SPOMLNSKI IZPITNI ROK Izpitna pola 2 Četrtek, 4. junij 2015 / 90 minut ovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali

Prikaži več

DES11_vmesniki

DES11_vmesniki Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki in sekvenčna vezja Zaporedna in vzporedna vodila 1 Vmesniki in vodila

Prikaži več

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 II Diplomsko delo visokošolskega strokovnega študijskega programa DETEKTOR FAZNE NAPAKE OPTIČNEGA ENKODERJA

Prikaži več

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni RAM stroj Nataša Naglič 4. junij 2009 1 RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni trak, pomnilnik ter program. Bralni trak- zaporedje

Prikaži več

Logični modul LOGO!

Logični modul LOGO! Logični modul LOGO! LOGO! Siemensov univerzalni logični modul LOGO! vsebuje: Krmilno enoto Enoto za prikaz in tipkovnico Napajalno vezje Vmesnik za spominski modul in PC kabel Funkcije, pripravljene za

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 12. junij 2013 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA NAMESTITEV IN UPORABO Št. izd. : 122383 www.conrad.si ROČNI OSCILOSKOP VELLEMAN HPS140 Št. izdelka: 122383 1 KAZALO 1 MED UPORABO... 3 2 LASTNOSTI IN TEHNIČNI PODATKI... 3 3 OPIS SPREDNJE

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 11. junij 2014 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki Vodila, vzporedni (paralelni) vmesniki Vmesniki in vodila naprava 1

Prikaži več

Šolski center celje

Šolski center celje ŠOLSKI CENTER CELJE Gimnazija Lava DVORIŠČNA VRATA NA DALJINSKO UPRAVLJANJE MENTOR: Matjaž Cizej, univ. dipl. inž. AVTOR: Roman Leban, L-4.F Celje, marec 2010 KAZALO VSEBINE 1 POVZETEK /SUMMARY... 1 2

Prikaži več

Datum in kraj

Datum in kraj Ljubljana, 5. 4. 2017 Katalog znanj in vzorci nalog za izbirni izpit za vpis na magistrski študij Pedagoško računalništvo in informatika 2017/2018 0 KATALOG ZNANJ ZA IZBIRNI IZPIT ZA VPIS NA MAGISTRSKI

Prikaži več

seminarska_naloga_za_ev

seminarska_naloga_za_ev Univerza v Ljubljani Fakulteta za elektrotehniko Matevž Seliger 8-kanalni Lightshow Seminarska naloga pri predmetu: V Horjulu, junij 2008 Kazalo: 1 Uvod... 3 1.1 Namen in uporaba izdelka... 3 2 Delovanje...

Prikaži več

Microsoft Word - Avditorne.docx

Microsoft Word - Avditorne.docx 1. Naloga Delovanje oscilatorja je odvisno od kapacitivnosti kondenzatorja C. Dopustno območje izhodnih frekvenc je podano z dopustnim območjem kapacitivnosti C od 1,35 do 1,61 nf. Uporabljen je kondenzator

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Mario Trifković Programljivi 6 Timer Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, junij 2009 Mario Trifković Programljivi 6 Timer 2 1.

Prikaži več

Microsoft Word - vaje2_ora.doc

Microsoft Word - vaje2_ora.doc II UKAZI 1. Napišite zaporedje ukazov, ki vrednost enobajtne spremenljivke STEV1 prepiše v enobajtno spremenljivko STEV2. Nalogo rešite z neposrednim naslavljanjem (zaporedje lahko vsebuje le 2 ukaza v

Prikaži več

Microsoft PowerPoint - ORS-1.ppt

Microsoft PowerPoint - ORS-1.ppt ORGANIZACIJA RAČUNALNIŠKIH SISTEMOV Lastnosti integriranih digitalnih vezij ORS 2013, Igor Škraba, FRI Von Neumannov model računalnika (= matematični model in dejanski računalnik) ne določa tehnologije,

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Procesorji Model računalnika, mikrokrmilnik CPE = mikrosekvenčnik + podatkovna

Prikaži več

REALIZACIJA ELEKTRONSKIH SKLOPOV

REALIZACIJA ELEKTRONSKIH SKLOPOV Načrtovanje zaemc = elektronike 2 1 Katedra za elektroniko 2 Čemu? 3 Kdo? Katedra za elektroniko 4 Izziv: DC/DC stikalni napajalnik navzdol U vhod Vhodno sito Krmilno integrirano vezje NMOSFET NMOSFET

Prikaži več

Microsoft Word - Navodila_NSB2_SLO.doc

Microsoft Word - Navodila_NSB2_SLO.doc Borovniško naselje 7 1412 Kisovec Slovenija Tel.: +386(0) 356 72 050 Fax.: +368(0)356 71 119 www.tevel.si Lastno varni napajalnik Tip NSB2/xx (NAVODILA ZA UPORABO) Navodila_NSB2_SLO.doc2/xx Stran 1 od

Prikaži več

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampreht, Luka Stepančič, Igor Vizec, Boštjan Žankar Povzetek

Prikaži več

Microsoft Word - CNC obdelava kazalo vsebine.doc

Microsoft Word - CNC obdelava kazalo vsebine.doc ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo mesto, april 2008 Ime in priimek študenta ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo

Prikaži več

_ _BDA_CapitalSports_CS-Timer.indd

_ _BDA_CapitalSports_CS-Timer.indd 10028194 10029391 CS Timer 6 Spoštovani kupci, Čestitamo Vam za nakup. Prosimo, da skrbno preberete navodilo in da skrbite za nasvete o namestitvi in uporabi, da bi ste izognili tehničnim poškodbam. Za

Prikaži več

Microsoft Word - avd_vaje_ars1_1.doc

Microsoft Word - avd_vaje_ars1_1.doc ARS I Avditorne vaje Pri nekem programu je potrebno izvršiti N=1620 ukazov. Pogostost in trajanje posameznih vrst ukazov računalnika sta naslednja: Vrsta ukaza Štev. urinih period Pogostost Prenosi podatkov

Prikaži več

No Slide Title

No Slide Title Glavne napake-pomoč KRONOS 1 Diagnostika in dostop do sistema PEČICA NAPAKA NAPAKA PRIKAZANA Z KODO NAPAKE NAPAKA BREZ INDIKACIJE KODE NAPAKE 2 Diagnostika in dostop do sistema Prikaz kode napake Informacije

Prikaži več

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajanje prekinitvene rutine Dogodek GLAVNI PROGRAM (MAIN-OB1)

Prikaži več

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila Programsko orodje LabVIEW za kreiranje, zajem in obdelavo signalov (statične in dinamične karakteristike hidravličnih proporcionalnih ventilov) Marko Šimic Telefon: +386 1 4771 727 e-mail: marko.simic@fs.uni-lj.si

Prikaži več

Navodila za uporabo Mini snemalnik

Navodila za uporabo Mini snemalnik Navodila za uporabo Mini snemalnik www.spyshop.eu Pred vami so navodila za pravilno uporabo mini snemalnika in opis funkcionalnosti. Lastnosti snemalnika: Naziv Mere Teža Kapaciteta spomina Snemanje Format

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 5 - LV 1 Meritve dolžine in karakteristične impedance linije VIN - LV 1 Rozman,Škraba, FRI Model linije Rs Z 0, Vs u i u l R L V S - Napetost izvora [V] R S -

Prikaži več

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod: 1-fazni 230VAC; 4 šuko vtičnica preko UPS-a; 2 šuko

Prikaži več

Modem in krajevno omrežje Uporabniški priročnik

Modem in krajevno omrežje Uporabniški priročnik Modem in krajevno omrežje Uporabniški priročnik Copyright 2008 Hewlett-Packard Development Company, L.P. Informacije v tem priročniku se lahko spremenijo brez prejšnjega obvestila. Edine garancije za HP-jeve

Prikaži več

Microsoft Word - Dip2U_41.doc

Microsoft Word - Dip2U_41.doc Stanislav Moraus UPORABA PROGRAMABILNIH VEZIJ ZA ZAZNAVO NAPAK V VGRAJENIH KRMILNIH SISTEMIH Diplomsko delo Maribor, Junij 2010 I Diplomsko delo univerzitetnega študijskega programa Uporaba programabilnih

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA NAMESTITEV IN UPORABO Št. izd. : 343712 www.conrad.si RADIO BUDILKA SANGEAN RCR-3 Št. izdelka: 343712 1 KAZALO 1 POMEMBNI VARNOSTNI NAPOTKI...3 2 UPRAVLJALNI ELEMENTI...4 3 LCD ZASLON...6

Prikaži več

Avtomatizirano modeliranje pri celostnem upravljanju z vodnimi viri

Avtomatizirano modeliranje pri celostnem upravljanju z vodnimi viri Univerza v Ljubljani Fakulteta za gradbeništvo in geodezijo 36. Goljevščkov spominski dan Modeliranje kroženja vode in spiranja hranil v porečju reke Pesnice Mateja Škerjanec 1 Tjaša Kanduč 2 David Kocman

Prikaži več

LINEARNA ELEKTRONIKA

LINEARNA ELEKTRONIKA Linearna elektronika - Laboratorijske vaje 1 LINERN ELEKTRONIK LBORTORIJSKE VJE Priimek in ime : Skpina : Datm : 1. vaja : LSTNOSTI DVOVHODNEG VEZJ Naloga : Za podano ojačevalno stopnjo izmerite h parametre,

Prikaži več

Base NET.cdr

Base NET.cdr Rešitev fiksnega radijskega odčitavanja Delovanje BaseNet je način odčitavanja porabe vode, toplote, elektrike, plina in delilnikov toplote v fiksnem radijskem omrežju. Merilnike v Sensus Base sistemu

Prikaži več

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo Turingov stroj in programiranje Barbara Strniša 12. 4. 2010 1 Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolov (običajno Σ 2) Σ n = {s 1 s 2... s n ; s i Σ, i =

Prikaži več

NAVODILA ZA UPORABO SPLETNE APLIKACIJE

NAVODILA ZA UPORABO SPLETNE APLIKACIJE NAVODILA ZA UPORABO SPLETNE APLIKACIJE Kazalo 1. Namen spletne aplikacije... 3 2. Prijava v sistem... 3 3. Sprememba gesla... 3 4. Izbira časovnega obdobja... 4 4.1. Način je preko grafičnega koledarja...

Prikaži več

Kazalne naprave in tipkovnica Vodnik za uporabnike

Kazalne naprave in tipkovnica Vodnik za uporabnike Kazalne naprave in tipkovnica Vodnik za uporabnike Avtorske pravice 2007 Hewlett-Packard Development Company, L.P. Windows je v ZDA zaščitena blagovna znamka družbe Microsoft Corporation. Informacije v

Prikaži več

untitled

untitled UDK621.3:(53+54+621+66), ISSN0352-9045 Informacije MIDEM 40(2010)1, Ljubljana NAPAJALNI SISTEM BATERIJSKO PODPRTE RFID ZNAČKE 1 Kosta Kovačič, 2 Anton Pleteršek 1 IDS d.o.o. Ljubljana, Slovenia 2 University

Prikaži več

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE ELEKTRONIKA ŠTUDIJ ELEKTRONIKE Umetni nos, Laboratorij za mikroelektroniko, FE Odprtokodni instrument, Red Pitaya, Ljubljana Senzorji krvnega tlaka, Hyb, Šentjernej Elaphe, elektronika omogoča električno

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec 2.TRAN analiza (Analiza v časovnem prostoru) Iskanje odziva nelinearnega dinamičnega vezja v časovnem prostoru Prehodni pojavi Stacionarno

Prikaži več

Upravljanje sistema COBISS Navodila za uporabo tiskalnika CITIZEN S310II V1.0 VIF-NA-27-SI

Upravljanje sistema COBISS Navodila za uporabo tiskalnika CITIZEN S310II V1.0 VIF-NA-27-SI Navodila za uporabo tiskalnika CITIZEN S310II V1.0 VIF-NA-27-SI IZUM, 2015 COBISS, COMARC, COBIB, COLIB, IZUM so zaščitene znamke v lasti javnega zavoda IZUM. KAZALO VSEBINE 1 Uvod... 1 2 Uporaba tiskalnika...

Prikaži več

Microsoft Word - EV-2-projekt.doc

Microsoft Word - EV-2-projekt.doc UNIVERZA V LJUBLJANI FAKULTETA ZA ELEKTROTEHNIKO Matjaž Repovž MERILNIK TLAKA Seminarska nalogapri predmetu Elektronska vezja Ljubljana, 2009 Ključne besede: Merilnik Tlaka LPC2136 USB LabView RS232 FT232RL

Prikaži več

Microsoft Word - M doc

Microsoft Word - M doc Državni izpitni center *M11145113* INFORMATIKA SPOMLADANSKI IZPITNI ROK NAVODILA ZA OCENJEVANJE Petek, 10. junij 2011 SPLOŠNA MATURA RIC 2011 2 M111-451-1-3 IZPITNA POLA 1 1. b 2. a 3. Pojem se povezuje

Prikaži več

STAVKI _5_

STAVKI _5_ 5. Stavki (Teoremi) Vsebina: Stavek superpozicije, stavek Thévenina in Nortona, maksimalna moč na bremenu (drugič), stavek Tellegena. 1. Stavek superpozicije Ta stavek določa, da lahko poljubno vezje sestavljeno

Prikaži več

Microsoft PowerPoint - CIGER - SK 3-15 Izkusnje nadzora distribucijskih transformatorjev s pomo... [Read-Only]

Microsoft PowerPoint - CIGER - SK 3-15 Izkusnje nadzora distribucijskih transformatorjev s pomo... [Read-Only] CIRED ŠK 3-15 IZKUŠNJE NADZORA DISTRIBUCIJSKIH TRANSFORMATORJEV S POMOČJO ŠTEVCEV ELEKTRIČNE ENERGIJE ŽIGA HRIBAR 1, BOŠTJAN FABJAN 2, TIM GRADNIK 3, BOŠTJAN PODHRAŠKI 4 1 Elektro novi sistemi. d.o.o.,

Prikaži več

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k 10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, ki ga sprejme antena in dodatni šum T S radijskega sprejemnika.

Prikaži več

Microsoft PowerPoint - CAD sistemi - pletenje za pdf

Microsoft PowerPoint - CAD sistemi - pletenje za pdf ELEKTRONIKA V PLETILSTVU slabosti mehanskega nadzora pletilskih procesov dragi mehanski nadzorni sistemi počasnost in okornost delovanja težavna regulacija počasen odziv obraba, staranje (trenje, rjavenje,...)

Prikaži več

DKMPT

DKMPT Tračnice, na katere so moduli fizično nameščeni. Napajalniki (PS), ki zagotavljajo ustrezno enosmerno napajalno napetost za module. Centralne procesne enote (CPU Central Processing Unit). Signalni moduli

Prikaži več

1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatk

1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatk 1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatkov in njihov prenos med spletnimi mesti. Obrazec v

Prikaži več

Navodila:

Navodila: 1 Napišite zaporedje ukazov, ki vrednost enobajtne spremenljivke STEV1 prepiše v enobajtno spremenljivko STEV2. Nalogo rešite z neposrednim naslavljanjem (zaporedje lahko vsebuje le 2 ukaza v zbirniku

Prikaži več

Področje uporabe

Področje uporabe Regulator Področja uporabe Regulator DIALOG EQ je namenjen predvsem vodenju in nadziranju sistemov ogrevanja in hlajenja, lahko pa se uporabi tudi na različnih področjih avtomatizacije in inteligentnih

Prikaži več

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Trditev: idealni enosmerni tokovni vir obratuje z močjo

Prikaži več

ISOFT , računalniški inženiring

ISOFT , računalniški inženiring ISOFT, računalniški inženiring Marko Kastelic s.p. Sad 2, 1296 Šentvid pri stični Spletna stran podjetja:http://www.isoft.si podjetja ISOFT Spletna stran sistema sledenja vozil track.si: http://www.track.si

Prikaži več

BDV-N890W/BDV-N790W

BDV-N890W/BDV-N790W Sistem za domači kino s predvajalnikom Blu-ray Disc /DVD BDV-N890W BDV-N790W SI Začnite tukaj Kratka navodila za postavitev in uporabo BDV-N790W BDV-N890W 1 Vsebina embalaže/nastavitev zvočnikov BDV-N890W

Prikaži več

Microsoft Word - UNI_Fekonja_Andrej_1988

Microsoft Word - UNI_Fekonja_Andrej_1988 Andrej Fekonja VODENJE TRANSPORTNEGA SISTEMA BOSCH TS S PROGRAMSKIM PAKETOM MATLAB/SIMULINK Diplomsko delo Maribor, september 20 I Diplomsko delo univerzitetnega študijskega programa VODENJE TRANSPORTNEGA

Prikaži več

DODATEK_F8

DODATEK_F8 COMARC/B F.8 F.8 Tabela polj/podpolj s stopnjo obveznosti za posamezen bibliografski nivo V tabeli je podana obveznost polj/podpolj (o - obvezen podatek, p - obvezen podatek, če obstaja, in n - neobvezen

Prikaži več

AME 110 NL / AME 120 NL

AME 110 NL / AME 120 NL Pogoni za zvezni regulacijski signal AME 110 NL, AME 120 NL Opis Ti pogoni se uporabljajo skupaj z kombiniranimi avtomatskimi omejevalniki pretoka z regulacijskim ventilom AB-QM DN 10 - DN 32. Ta pogon

Prikaži več

VHF1-VHF2

VHF1-VHF2 VHF BREZŽIČNI MIKROFONSKI KOMPLET VHF1: 1 CHANNEL VHF2: 2 CHANNELS NAVODILA ZA UPORABO SLO Hvala, ker ste izbrali naš BREZŽIČNI MIKROFONSKI KOMPLET IBIZA SOUND. Za vašo lastno varnost, preberite ta navodila

Prikaži več

Sledilna ploščica in tipkovnica Uporabniški priročnik

Sledilna ploščica in tipkovnica Uporabniški priročnik Sledilna ploščica in tipkovnica Uporabniški priročnik Copyright 2007 Hewlett-Packard Development Company, L.P. Windows je zaščitena blagovna znamka družbe Microsoft Corporation v ZDA. Informacije v tem

Prikaži več

Diapozitiv 1

Diapozitiv 1 9. Funkcije 1 9. 1. F U N K C I J A m a i n () 9.2. D E F I N I C I J A F U N K C I J E 9.3. S T A V E K r e t u r n 9.4. K L I C F U N K C I J E I N P R E N O S P A R A M E T R O V 9.5. P R E K R I V

Prikaži več

Navodila za uporabo Mini prenosna HD kamera s snemalnikom

Navodila za uporabo Mini prenosna HD kamera s snemalnikom Navodila za uporabo Mini prenosna HD kamera s snemalnikom www.spyshop.eu Izdelku so priložena navodila v angleščini, ki poleg teksta prikazujejo tudi slikovni prikaz sestave in delovanja izdelka. Lastnosti

Prikaži več

ORA 1-3

ORA 1-3 OSNOVE RAČUNALNIŠKE ARHITEKTURE II 9 Glavni pomnilnik ORA 2-9 Igor Škraba, FRI Glavni pomnilnik in predpomnilnik Glavni pomnilnik je prostor iz katerega CPE bere ukaze in operande in vanj shranjuje rezultate.

Prikaži več

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah O opozorilih OPOZORILO: OPOZORILO označuje možnost poškodb lastnine, telesnih poškodb ali smrti. Dell Vostro 430 List s tehničnimi informacijami o nastavitvi in funkcijah Pogled s sprednje in zadnje strani

Prikaži več

Microsoft PowerPoint - Sirikt-SK-FV.ppt

Microsoft PowerPoint - Sirikt-SK-FV.ppt E-učbeniki za izbrane naravoslovno-tehniške predmete E-books for selected science and technical subjects Slavko KOCIJANČIČ Univerza v Ljubljani, Pedagoška fakulteta slavko.kocijancic@pef.uni-lj.si Franc

Prikaži več

Kazalo 1 DVOMESTNE RELACIJE Operacije z dvomestnimi relacijami Predstavitev relacij

Kazalo 1 DVOMESTNE RELACIJE Operacije z dvomestnimi relacijami Predstavitev relacij Kazalo 1 DVOMESTNE RELACIJE 1 1.1 Operacije z dvomestnimi relacijami...................... 2 1.2 Predstavitev relacij............................... 3 1.3 Lastnosti relacij na dani množici (R X X)................

Prikaži več

17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-p

17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-p 17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-prepustna in pasovno-zaporna. Frekvenčna sita gradimo

Prikaži več

Navodila Navodila za uporabo iradio RPI3 Najbolj pogosta uporaba iradio TIV je kot spletni radio. Za tiste, ki boste prvič uporabljali spetni radio po

Navodila Navodila za uporabo iradio RPI3 Najbolj pogosta uporaba iradio TIV je kot spletni radio. Za tiste, ki boste prvič uporabljali spetni radio po Navodila Navodila za uporabo iradio RPI3 Najbolj pogosta uporaba iradio TIV je kot spletni radio. Za tiste, ki boste prvič uporabljali spetni radio povejmo, da je sprejem spletnega radia odvisen od kvalitete

Prikaži več

Procesorski sistemi v telekomunikacijah

Procesorski sistemi v telekomunikacijah Procesorski sistemi v telekomunikacijah Komunikacija v procesorskih sistemih (c) Arpad Bűrmen, 2010-2012 Sinhrona komunikacija Podatkovne linije + sinhronizacijski signal Sihnronizacijski signal določa

Prikaži več

FGG13

FGG13 10.8 Metoda zveznega nadaljevanja To je metoda za reševanje nelinearne enačbe f(x) = 0. Če je težko poiskati začetni približek (še posebno pri nelinearnih sistemih), si lahko pomagamo z uvedbo dodatnega

Prikaži več

KRMILNA OMARICA KO-0

KRMILNA OMARICA KO-0 KOTLOVSKA REGULACIJA Z ENIM OGREVALNIM KROGOM Siop Elektronika d.o.o., Dobro Polje 11b, 4243 Brezje, tel.: +386 4 53 09 150, fax: +386 4 53 09 151, gsm:+386 41 630 089 e-mail: info@siopelektronika.si,

Prikaži več

MERE SREDNJE VREDNOSTI

MERE SREDNJE VREDNOSTI OPIS PODATKOV ENE SPREMENLJIVKE frekvenčne porazdelitve in mere srednje vrednosti as. dr. Nino RODE Uni-Lj. Fakulteta za socialno delo O ČEM BOMO GOVORILI NAMEN OPISNE STATISTIKE Kako opisati podatke OPIS

Prikaži več

COBISS3/Medknjižnična izposoja

COBISS3/Medknjižnična izposoja 3/Medknjižnična izposoja 2.2 KATALOG Katalog nam omogoča: iskanje gradiva prikaz izbranih bibliografskih zapisov ali pripadajočih podatkov o zalogi iz lokalne baze podatkov v formatu COMARC vpogled v stanje

Prikaži več

Slide 1

Slide 1 Projektno vodenje PREDAVANJE 7 doc. dr. M. Zajc matej.zajc@fe.uni-lj.si Projektno vodenje z orodjem Excel Predstavitev Najbolj razširjeno orodje za delo s preglednicami Dva sklopa funkcij: Obdelava številk

Prikaži več

Microsoft PowerPoint - NDES_8_USB_LIN.ppt

Microsoft PowerPoint - NDES_8_USB_LIN.ppt Laboratorij za na rtovanje integriranih vezij Fakulteta za elektrotehniko Univerza v Ljubljani ndrej Trost artovanje digitalnih el. sistemov Komunikacijski vmesniki UB in LI http://lniv.fe.uni-lj.si/ndes.html

Prikaži več

Navodila za izdelavo diplomske naloge

Navodila za izdelavo diplomske naloge UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Aljaž Komprej POSODOBITEV IN OPTIMIZACIJA PROGRAMSKEGA DELA KRMILJENJA LINIJE ZA PRIPRAVO AKUMULATORSKIH POSOD Diplomsko delo

Prikaži več

an-01-sl-Temperaturni_zapisovalnik_podatkov_Tempmate.-S1.docx

an-01-sl-Temperaturni_zapisovalnik_podatkov_Tempmate.-S1.docx SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 14 24 835 www.conrad.si NAVODILA ZA UPORABO Temperaturni zapisovalnik podatkov Tempmate. S1 Kataloška št.: 14 24 835 KAZALO 1. OPIS PROGRAMSKE OPREME ZA NAPRAVO...

Prikaži več

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, 2013 5.marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zaporedno ali b) vzporedno Določi nadomestno upornost

Prikaži več

Slide 1

Slide 1 INTERAKTIVNA MULTIMEDIJA P9 doc. dr. Matej Zajc QWERTY 1870 Christopher Latham Sholes Uporaba: tipkarski stroj: Remington Pozicija črk upočasni uporabnika Pogosto uporabljane črke so narazen The popular

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA MONTAŽO IN UPORABO : št. art. : 90 79 14 www.conrad.si Zvočniki Hercules XPS 2,1 20 Gloss Št. izdelka: 90 79 14 Navodila za uporabo so sestavni del izdelka. Vsebujejo pomembne napotke

Prikaži več

Analiza energijske ucinkovitosti vgrajenega mikroprocesorja BA20

Analiza energijske ucinkovitosti vgrajenega mikroprocesorja BA20 Univerza v Ljubljani Fakulteta za računalništvo in informatiko Blaž Kelbl Analiza energijske učinkovitosti vgrajenega mikroprocesorja BA20 DIPLOMSKO DELO UNIVERZITETNI ŠTUDIJSKI PROGRAM PRVE STOPNJE RAČUNALNIŠTVO

Prikaži več

Microsoft PowerPoint - MK 3 tehnicni sistemi.ppt

Microsoft PowerPoint - MK 3 tehnicni sistemi.ppt Opredelitev tehničnega sistema Proces prenosa naravnih sistemov v tehnični sisteme, kot posledica človekovega ustvarjanja 1 Uvod - kaj predstavlja tehnični sistem, splošni primeri Predstavitev primera

Prikaži več

AM_Ple_LegReport

AM_Ple_LegReport 22.3.2019 A8-0206/607 607 Člen 1 odstavek 1 točka 7 točka c Direktiva 2006/22/ES Člen 9 odstavek 4 4. Za lažje izvajanje ciljnih cestnih preverjanj so podatki v nacionalnem sistemu ocenjevanja tveganja

Prikaži več

Microsoft PowerPoint _12_15-11_predavanje(1_00)-IR-pdf

Microsoft PowerPoint _12_15-11_predavanje(1_00)-IR-pdf uporaba for zanke i iz korak > 0 oblika zanke: for i iz : korak : ik NE i ik DA stavek1 stavek2 stavekn stavek1 stavek2 stavekn end i i + korak I&: P-XI/1/17 uporaba for zanke i iz korak < 0 oblika zanke:

Prikaži več

M-Tel

M-Tel Poročilo o meritvah / Test report Št. / No. 16-159-M-Tel Datum / Date 16.03.2016 Zadeva / Subject Pooblastilo / Authorization Meritve visokofrekvenčnih elektromagnetnih sevanj (EMS) Ministrstvo za okolje

Prikaži več

Chapter 1

Chapter 1 - 1 - Poglavje 1 Uvod v podatkovne baze - 2 - Poglavje 1 Cilji (Teme).. Nekatere domene, kjer se uporabljajo podatkovne baze Značilnosti datotečnih sistemov Problemi vezani na datotečne sisteme Pomen izraza

Prikaži več