3 LOGIČNA VEZJA. 3.1 Osnovna kombinacijska krmilja - KIK

Velikost: px
Začni prikazovanje s strani:

Download "3 LOGIČNA VEZJA. 3.1 Osnovna kombinacijska krmilja - KIK"

Transkripcija

1 3 LOGIČNA VEZJA Načrtovanje in projektiranje logičnih vezij zajema naslednje korake: - definicija problema in opis tehnologije (tehnološka shema) - določanje vhodnih spremenljivk signalov (pritisne tipke komandnih pultov, senzorika, mikro-stikala, končna varnostna stikala, ) - določanje izhodnih spremenljivk kanalov (kontaktorji, motorji, pnevmatski ali hidravlični cilindri, ventili, signalizacija) - izdelava funkcijskih logičnih enačb in pravilnostnih tabel, določanje algoritmov delovanja - minimiziranje in optimiranje logičnih preklopnih funkcij - izdelava funkcijskih načrtov in časovnih diagramov - izdelava, preizkus in analiza logičnega vezja ( NAN, NO tehnologija) Logična vezja delimo v naslednje osnovne skupine: - osnovna kombinacijska vezja - aritmetična vezja - prekodirna vezja - multiplekser in demultiplekser - digitalna primerjalna vezja (komparator) 3. Osnovna kombinacijska krmilja - KIK a) sprostitev signala; prehod signala omogoči sprostitveni signal S. Vezje se uporablja za krmiljenje krmilnih relejev, kontrolo izhodnih signalov, b) zapora signala (zapahovanje); prehod signalov A, B, C, je preprečen s signalno zaporo S. Izhodni kanali so aktivni samo pri izklopljenem stikalu S. c) izbirno vezje x/y (2/3); ima dva ali več vhodov, na izhodu tega vezja se sme pojaviti signal le tedaj, če je aktivno samo določeno število vhodnih kanalov x od skupnega števila y. Na izhodu izbirnega vezja 2/3 se sme pojaviti signal le tedaj, ko sta hkrati postavljena samo 2 od treh vhodnih signalov. V vseh drugih primerih mora biti na izhodu signal 0. a) sprostitev signala b) zapora signala c) izbirno vezje 2/3 igitalni sistemi

2 Primer izvedbe kombinacijskega izbirnega krmilja KIK 2/3 Izdelati je potrebno kombinacijsko krmilje z naslednjimi karakteristikami: - kombinacijsko izbirno vezje tipa 2/3 - na istem izbranem izhodnem kanalu je dodan alarmni signal za primer sočasnega vklopa vseh 3 vhodnih signalov, oblika alarmnega signala je utripajoč signal s frekvenco Hz. Algoritem delovanja pravilnostna tabela in časovni diagram / prikaz delovanja z logičnim analizatorjem X3 X2 X Y KIK 2/3 Opomba Utripajoč signal Hz 2 Zapis Veitch-evega diagrama osnovnega algoritma KIK 2/3 X X2 X3 3 Funkcijska blok shema simulacija Winfact-BOIS igitalni sistemi 2

3 3. 2 Aritmetična vezja Popolni seštevalnik Popolni seštevalnik je razširitev polovičnega seštevalnika tako, da upošteva prenos z nižjega bita C i- in ga zato lahko uporabimo za seštevanje dveh binarnih števil na katerem koli mestu binarnega števila. Pravilnostna tabela: ai bi Ci- Si Ci Logične enačbe: Si = ai. bi.ci- + ai. bi.ci- + ai. bi.ci- + ai. bi.ci- = = Ci-.(ai. bi + ai.bi) + Ci-.(ai. bi + ai.bi) = Ci-.(ai bi )+Ci-.(ai bi ) = = Ci- (ai bi) Ci = ai. bi.ci- + ai. bi.ci- + ai. bi.ci- + ai. bi.ci- = 0 0 = Ci-.(ai. bi + ai.bi) + ai. bi. (Ci- + Ci-) = Ci-.(ai bi ) + ai. bi Simbol in funkcijski načrt Z združitvijo polovičnega in popolnih seštevalnikov lahko sestavimo n bitni binarni seštevalnik. Primer 4- bitnega popolnega seštevalnika v IC tehnologiji je igitalni sistemi 3

4 Primeri seštevalnikov v IC tehnologiji: bitni popolni seštevalnik s paralelnim prenosom trojni serijski seštevalnik popolni seštevalnik bitni popolni seštevalnik bitni popolni seštevalnik Aritmetično funkcijska enota 748 Active-low ata M=H M=L; Arithmetic Operations Selection LOGIC Cn=L Cn=H S3 S2 S S0 FUNCTIONS (no carry) (with carry) F= A F=A MINUS F=A F= AB F= AB MINUS F=AB _ _ _ F= A+B F= AB MINUS F=AB 0 0 F= F=MINUS (2's comp) F=Zero _ _ F= A+B F=A PLUS (A+B) F=A PLUS(A+B) Plus _ _ _ 0 0 F= B F=AB PLUS(A+B) F=AB PLUS(A+B) Plus 0 0 F= A "+" B F=A MINUS B MINUS F= A MINUS B _ _ _ 0 F= A+B F= A+B F= (A+B) PLUS _ F= AB F= A PLUS (A+B) F=A PLUS(A+B)PLUS 0 0 F= A "+" B F= A PLUS B F=A PLUS B PLUS _ 0 0 F = B F= AB PLUS (A+B) F=AB PLUS (A+B)PLUS 0 F = A + B F = (A + B) F=(A+B)PLUS 0 0 F = 0 F = A PLUS A F=A PLUS A PLUS _ 0 F = AB F= AB PLUS A F=AB PLUS A PLUS _ _ 0 F=AB F=AB PLUS A F=AB PLUS A PLUS F = A F = A F= A PLUS igitalni sistemi 4

5 3. 3 Pretvorniki kodov Kodirnik je logično vezje, ki vsakemu od 'm' vhodnih signalov priredi 'm' izhodnih kombinacij na 'n' izhodih. Splošna blokovna shema: X Y vhodni kanali 'm' izhodni kanali 'n' / velja: m 2 n Prekodirnik je namenjen pretvorbi zapisa iz enega koda v drugi kod, pri čemer je število vhodnih kanalov lahko enako številu izhodnih (prekodiranje med različnimi BC kodi) ali pa je število vhodnih in izhodnih signalov različno (prekodiranje BC koda v 7 segmentni kod: krmiljenje prikazovalnika LE). Splošna blokovna shema: X Y vhodni kanali 'm' izhodni kanali 'n' m = n; m n Blokovna shema prekodirnika BC / 7 segmentni kod za LE prikazovalnik: A B C a A b B c C d e f g BC/7 oločeni segment LE posveti takrat, ko je na pripadajočem vhodu a, b, c, logično stanje 0. Pravilnostna tabela BC / 7 prekodirnika: Minimizirane logične enačbe: C B A a b c d e f g N f a = ABC + ABC f b = ABC + ABC f c = ABC f d = ABC + ABC + ABC f e = A + BC f f = AC + BC x f g = BC + ABC 0 x 0 0 x 0 x 0 x x igitalni sistemi 5

6 Primeri IC vezij: TTL 7446, 7447 BC / 7-segmentni dekoder - driver 7448, 7449 BC / 7-segmentni dekoder CMOS 4055, 4065 BC / 7-segmentni dekoder driver 45 BC / 7-segmentni dekoder 4555, x / 4 dekoder ekodirnik je logično vezje, ki pretvori določeni binarni kod iz 'n' vhodov na 'm' izhodnih linij, pri čemer vsaki izhodni liniji pripada enota informacije. Splošna blokovna shema: X Y vhodni kanali 'n' izhodni kanali 'm' / velja: m 2 n (BC / n= 4) (EC / m = 0) Primer simulacijskega krmilja dekodirnik/kodirnik 3. 4 Multiplekserska vezja igitalni sistemi 6

7 Multiplekser MUX je element, ki nekemu številu vhodnih signalov priredi odgovarjajoče manjše število izhodnih signalov, ki je odvisno od postavitve krmilnih selektivnih signalov. Velja pravilo, da pri številu 'n' selektivnih signalov lahko pripeljemo na vhod MUX 2 n vhodnih signalov. MUX nam v praksi pretvori množico vhodnih signalov v danem trenutku v en izhodni signal, v naslednjem v drugi izhodni signal itd. Govorimo o časovnem multipleksu. Stikalni ekvivalent 4/ MUX: Pravilnostna tabela: I0 S S0 I Y I 2 Y (I0, I, I2, I3) 0 0 I0 I2 3 0 I I3 4 0 I2 I3 Logična enačba: Y = I0.(S0. S) + I.(S0. S) + I2.(S0. S) + I3.(S0. S) Vezalna shema s simbolom MUX 4/: vhodni signali I0 I I2 I3 MUX izhodni signal Y s0 s selektivni signali Izvedbe MUX v IC: x 2-kratni 4-polni MUX (2/4) 405 8/ MUX x 4-kratni -polni MUX (4/) x 3/2 MUX x 4 kratni -polni MUX (4/) MUX / MUX emultiplekser MUX je element, ki razširi manjše število vhodnih kanalov (lahko je samo en) na večje število izhodnih kanalov. Število izhodnih kanalov je odvisno od števila selektivnih krmilnih signalov in velja: m 2 n, pri čemer je 'm' število izhodnih kanalov, 'n' pa število selektivnih vhodov. Stikalni ekvivalent /4 MUX: Pravilnostna tabela: Logične enačbe: Y (I) S S0 I Y I 2 Y (I) 0 0 I Y0 Y0 = (S. S0). I 3 Y (I) 0 I Y Y = (S. S0). I 4 Y (I) 0 I Y2 Y2 = (S. S0). I I Y3 Y3 = (S. S0). I Vezalna shema s simbolom MUX /4: vhodni signal izhodni signali I MUX Y0 Y Y2 Y3 s s0 selektivni signali igitalni sistemi 7

8 3. 5 igitalni primerjalnik komparator CMP Komparator je logično vezje za primerjanje dveh binarnih števil A in B, kjer je rezultat primerjave lahko: X: A B Y: A = B Z: A B x = x = 0 x = 0 y = 0 y = y = 0 z = 0 z = 0 z = Blokovna shema: Pravilnostna tabela za -bitni komparator A n B 0 A 0 x y z X = A0. B0 A CMP B n B AB AB Y = A0. B0 + A0. B0 = A0 B0 A=B Z = A0. B0 4 bitni komparator v izvedbi z IC 7485: LE A ajalnik logičnih stanj LE B A 0 (0) 7485 A (2) A 2 (3) A 3 (5) AB (7) A=B (6) B 0 (9) AB (5) B () B 2 4) (2) (3) (4) B 3 () IAB IA=B IA L H L Prikazovalnik logičnih stanj 3.6. WinFact primerjalnik igitalni sistemi 8

9 4 SEKVENČNA VEZJA Sekvenčna vezja sestavljajo logična vezja in pomnilni elementi ali pomnilne celice. Izhod iz sekvenčnega vezja 'y' je funkcija dovedenih vhodnih signalov 'x n' in notranjih stanj 'z n', v katerem se trenutno sekvenčno vezje nahaja. Sekvenčna vezja delimo na: - asinhronska; izhodi se postavljajo na osnovi vhodnih in notranjih stanj, - sinhronska; izhodi se postavljajo na osnovi vhodnih in notranjih stanj ter na osnovi dovedenega takta (clock pulse) in so časovno usklajena. x0 x x2 Z SISTEM y y = f (z n, x n) z n+= f (z n, x n) stanje sistema v trenutku n + z n stanje sistema v trenutku n x n stanje vhodnih spremenljivk v trenutku n 4. Osnovne pomnilne celice Pomnilne celice (pomnilni elementi, preklopni členi, bistabilni elementi, flip-flopi) so elementi, ki lahko zavzamejo dve stabilni stanji (0,) in imajo sposobnost, da si ob določenih pogojih svoje stanje zapomnijo. a) -S preklopni člen; je osnovna bitna pomnilna celica S S Časovni diagram Pravilnostna tabela: S ' 0 0 ss ss 0 0 Logične enačbe: S = = 0; = 0 =, = 0 = = 0; S = 0 =, = / / ss staro stanje S vhod za postavljanje (set) / nedovoljeno stanje vhod za brisanje (reset) = 0, S = 0 ni zahteve za spremembo stanja =, S = hkratni signal za postavljanje in brisanje nedovoljeno stanje, v katerem lahko pomnilna celica zavzame katerokoli vrednost: 0 ali Simbol -S ff S S igitalni sistemi 9

10 Sinhronizirani -S preklopni člen S S S Karakteristična tabela pomnilne celice -S in karakteristična enačba: n Sn n ss / 0 0 ss / n+= Sn. n + n. n = n. (Sn + n) brez upoštevanja nedovoljenih stanj n+= Sn + n. n. z upoštevanjem nedovoljenih stanj kot redundanc ss prenos starega stanja; / nedoločeno stanje, ki ne sme nastopiti n stanje ff v prejšnjem intervalu; n+ stanje ff v sedanjem intervalu S impulzom omogočimo spremembo izhodnega stanja flip-flopa, glede na stanje, ki je trenutno na vhodih in S. Pri načrtovanjih sekvenčnih vezij je pomembno, da poznamo vhodno kombinacijo in S v sekvenci n (predhodnem časovnem intervalu), da bomo dobili želeno stanje izhoda v sekvenci n + (sedanjem časovnem intervalu) ob znanem stanju n. Postavljanje in brisanje flip-flopa je možno le, če imamo na krmilnem vhodu signal. Pravilnostna tabela Vzbujevalna tabela: Sn, n = f (n, n+) ; X redundantno stanje: 0, S n+ n n+ Sn n 0 0 n X / X 0 oločanje stanja na osnovi danih vhodov oločanje vhodov S in na osnovi danega stanja S S Poleg osnovnega tipa S celice pa poznamo še celice tipa, JK in T, ki se razlikujejo po odzivih glede na vhodni signal. igitalni sistemi 0

11 b) pomnilna celica (elay) Kombinacija signalov S = = privede pri S preklopnem členu do nepredvidljivega obnašanja celice (lahko se postavi v stanje 0 ali stanje ). Ta primer bomo izključili na ta način, da na vhod pripeljemo negiran signal S. Funkcijski načrt: Minimizirani preklopni člen: Simbol: Karakteristična tabela pomnilne celice in karakteristična enačba: n n n n+= n. n + n. n = n. (n + n) = n n+= n izhod v trenutku n + je enak vhodnemu signalu, ki je bil v trenutku n na vhodu Stanje na izhodu v trenutku n + je enako stanju na vhodu v trenutku n. To pomeni, da sta stanji vhoda in izhoda časovno zamaknjeni (delay) za en taktni interval. Stikalni preklop povzroči signal na krmilnem vhodu. Kakor dolgo traja na vhodu signal, se lahko stanje flip-flopa spremeni ustrezno vrednosti na vhodu. Pravilnostna tabela Vzbujevalna tabela: n = f (n, n+) n n+ n n+ n oločanje stanja na osnovi danega vhoda oločanje vhoda na osnovi danega stanja igitalni sistemi

12 c) sinhronizirani J K preklopni člen J J J K K K Karakteristična tabela pomnilne celice J-K in karakteristična enačba: n Jn Kn n n+= n. Kn + n. Jn V primeru logičnih enic na krmilnih vhodih J in K se ob signalu na izhodno stanje člena prevrže v njegovo negirano stanje. Izhodno stanje se ne spremeni, če sta oba vhoda v stanju 0. Značilnost JK člena je v tem, da sta lahko vhoda hkrati v enakih stanjih, pa bo izhodno stanje vedno definirano. S impulzom omogočimo spremembo izhodnega stanja flip-flopa, glede na stanje, ki je trenutno na vhodih J (SET) in K (ESET). Postavljanje in brisanje flip-flopa je možno le, če imamo na krmilnem vhodu signal. Pravilnostna tabela Vzbujevalna tabela: Jn, Kn = f (n, n+) ; X redundantno stanje: 0, J K n+ n n+ Jn Kn 0 0 n X X 0 0 X n X 0 oločanje stanja na osnovi danih vhodov oločanje vhodov J in K na osnovi danega sta J K J K igitalni sistemi 2

13 d) T pomnilna celica ( trigger flip flop) Z združitvijo vhodov J in K na en vhod dobimo T pomnilno celico, katere osnovna značilnost je izmenični preklop izhoda ob negativni fronti in postavljenem vhodnem krmilnem signalu T. Pravilnostno tabelo lahko izpeljemo posredno kar iz tabele JK ff. T x T Karakteristična tabela pomnilne celice T in karakteristična enačba: n Tn n n+= n. Tn + n. Tn ali direktno: Jn Kn n+ T n+ 0 0 n 0 n n n Pravilnostna tabela Proženje T ff Vzbujevalna tabela: T n+ Tn x n n+ Tn 0 0 n 0 0 x = 0 / T = ; = n x = / T =; = Prehod krmilnega signala na vhodu iz na 0 ( 0 zadnja stranica impulza) povzroči spremembo prvotnega stanja na izhodu in ' ob pogoju, da je na vhodu T signal postavljen na. Če je na vhodu T signal enak 0, impulz ne povzroči spremembe stanja. T flip-flop ob vsaki negativni fronti povzroči preklop (T = ), kar imenujemo dinamično krmiljenje. Primer: T igitalni sistemi 3

14 4. 2 Krmiljenje pomnilnih celic pomeni način vpisa informacije v celice in način hranjenja te informacije. Možnih je več načinov krmiljenja flip-flopov: - pomnilne celice z zapahovanjem; imenujemo jih zapahi ali LATCH-i, uporabljeni so ff z asinhronskim vhodnim signalom ENABLE; značilna pravilnostna tabela in simbol: EN n+ 0 0 n n EN Uporabljamo jih za eno ali več bitne zadrževalnike binarnih vrednosti za zadrževanje kratkotrajne informacije. - pomnilne celice s krmiljenim izhodom; (3 state buffer), za to izvedbo uporabimo S ff, uporabljamo jih za shranjevanje eno bitnih podatkov in niso primerni za števce in pomikalne registre; EN IN OUT 0 0 visoka Z 0 visoka Z 0 0 IN EN OUT - dinamično krmiljenje pomnilnih celic je krmiljenje z levim ali desnim bokom impulza (pozitivno ali negativno fronto), ki jo izvedemo z C diferencirnim vezjem na vhodu; ta način proženja uporabljamo samo v diskretnih bistabilih, v IC izvedbah pa ne; C nf, = 0 k, časovna konstanta C člena je približno: T C 0 x dvižnega časa (strmine) signala rise time; Primer vezave dinamičnega proženja s pozitivno in negativno fronto: S C S C - pomnilne celice z asinhronim vpisom; opremljene so z dodatnimi vhodi SET in CLEA, preko katerih vpisujemo v celico nove podatke; - krmiljenje pomnilnih celic z nizom impulzov; uporabimo ga pri kaskadnih zaporednih povezavah pri pomikalnih registrih, števcih in delilnikih ter blok povezavah dveh flip-flopov, kjer z enim bokom krmilimo prvi, z drugim bokom pa drugi flip-flop (Master Slave). igitalni sistemi 4

15 Primeri uporabe sekvenčnih krmilij - WinFact 4.2. Osnovne pomnilne celice simulacijski program WinFact igitalni sistemi 5

16 4.2.2 aljinski vklop Časovniki zakasnitev vklopa, izklopa igitalni sistemi 6

17 4. 3 egistri egister je sestavljen iz več eno bitnih pomnilnih celic tipa, S ali JK. Vsebina registra je informacija, ki je zapisana v registru. egistre uporabljamo za: - shranjevanje podatkov; to funkcijo opravljajo pomnilniški blok registri, - pomikanje vsebine registra; funkcijo opravljajo pomikalni (shift) registri, - krožno pomikanje informacije, funkcijo opravljajo obročni pomikalni (pomični) registri. egister pomnilniški blok A A Osnovna celica je ff oziroma latch. Vhod in izhod podatkov sta izvedena paralelno. Uporaba: - shranjevanje podatkov preko paralelnih vmesnikov, - poljubno (servisno) shranjevanje podatkov, - sinhronizacija pri paralelnem prenosu podatkov, - na shemi je prikazan 4- bitni latch register z vpisovalnim krmilnim signalom STOE. VHO POATKOV B C E E E B C IZHO POATKOV E Pomikalni registri - Shift egisters STOE so verižna vezja, v katerih skupni taktni signal pomika v posameznih pomnilnih celicah vsebovano informacijo v celotnem vezju v smeri proti levi ali desni. olžina SHIFT registra je odvisna od števila pomnilnih celic, ob vsakem impulzu se posamezni biti v registru pomaknejo za eno mesto v desno (shift right), prva pomnilna celica pa sprejme s serijskega vhoda nov bit. Osnovno vezje 4-bitnega pomikalnega registra tipa : PAALELNI IZHOI SEIJSKI VHO X A B C princip obročnega pomikalnega registra Simbol: A B C CLOCK SEIJSKI IZHO CLEA igitalni sistemi 7

18 Izvedba 4-bitnega pomikalnega registra z FF v simulacijskem programu WinFACT Časovni diagram delovanja krožnega pomikalnega registra v desno igitalni sistemi 8

19 Izvedba 4- bitnega pomikalnega registra tipa JK: PAALELNI IZHOI SEIJSKI VHO X A B C CLOCK J K J 2 K J 3 K J 4 K SEIJSKI IZHO Sekvenčni potek pomika bitov v desno: X CLEA 0 A B 2 C 3 Tipi pomikalnih registrov IC izvedbi: bitni, serijski, smer desno bitni, paralelno-serijski, smer levo/desno bitni, paralelno-serijski, smer desno bitni, paralelno-serijski, smer desno/levo bitni, serijski, rotiranje bitni, paralelno-serijski, smer - desno VPISOVANJE: 0 SEIJSKO ČITANJE : 0 Tipi registrov po namenu: - SISO: serijski vhod / serijski izhod; uporaba pri sinhronizaciji serijskega prenosa podatkov, - SIPO: serijski vhod / paralelni izhod; uporaba serijsko/paralelni pretvornik, - PISO: paralelni vhod / serijski izhod; uporaba za paralelno/serijski pretvornik, uporaba asinhronih vhodov PESET in CLEA omogoča asinhroni vpis informacij in sinhronski pomik podatkov, - PIPO: paralelni vhod / paralelni izhod; uporaba pri sinhronizaciji paralelnega prenosa podatkov. Kriteriji za izbor registrov so predvsem: dolžina registra, tip vhoda in izhoda /vpisa in izpisa, možnost spreminjanja pomika vpisa levo desno, možnost brisanja vsebine registrov bitni dvosmerni univerzalni pomikalni register Pravilnostna tabela Priključki TIP SEIJSKO PAALEL IZHOI CLEA ' S S 0 CLK LEVO ESNO A B C A B C 0 X X X X X X X X X X X 0 X X X X X X A0 B0 C0 0 X X a b c d a b c d 0 X X X X X An Bn Cn 0 X 0 X X X X 0 An Bn Cn 0 X X X X X Bn Cn n 0 0 X X X X X Bn Cn n X X X X X X X A0 B0 C0 0 igitalni sistemi 9

20 Primer uporabe pomikalnega registra pri prenosu krmilnih signalov blokovna shema OAJNA STAN SPEJEMNA STAN PISO POMIKALNI EGISTE GENEATO TAKT PULZA stop bita 0 KOINIK UKAZOV start bita X 0 tokovna zanka 20 ma SIPO POMIKALNI EGISTE 0 X 0 CLOCK galvanska ločitev galvanska ločitev stop bita start bita Značilnosti prenosa podatkov: - generiranje poljubnega števila krmilnih bitov X - oddajnik: pretvorba paralelnega v serijski podatek - sprejemnik: pretvorba serijskega v paralelni podatek - sinhroni prenos - po eni podatkovni liniji prenašamo poljubno število podatkov - podatkovno razdaljo povečamo z uporabo tokovne zanke in optične galvanske ločitve dekodirnik izvršilna stopnja LATCH Binarni števci in delilniki Števec je sekvenčni sistem, ki ga lahko uporabimo za: - štetje ali odštevanje impulzov ter za pomnjenje števila dogodkov v določenem časovnem inrtervalu; govorimo o števcu counter-ju, - generiranje enega impulza na izhodu po sprejetih N impulzih na svojem vhodu; govorimo o delilniku; delilnik deli frekvenco vhodnega signala z N in pri N vhodnih impulzih generira na izhodu en impulz. Splošna blokovna shema števca 0 C B A ŠTEVEC ELILNIK VHO ŠTEVEC ESET IZHO CLEA igitalni sistemi 20

21 Modul števca 'm' ali SV je število možnih različnih binarnih stanj, ki jih lahko števec zavzame. Standardne izvedbe: - dekadni števec z modulom m = 0; uporaba za merilnike frekvence, časovnike in impulzne števce - šestnajstiški števec z modulom m = 6; 4-bitni delilniki - števci s poljubnim modulom m = X, pri čemer so poznane izvedbe števcev z JK ff in m = 3 do 32 Števec JK z modulom m = 3 B A B A m = 3 Na osnovi analize delovanja števca in s pomočjo pravilnostne in vzbujevalne tabele JK flip-flopa definiramo logične enačbe oziroma tabelo stanja za vsako pomnilno celico posebej: ff A: JA = B; KA = B ff B: JB = A; KB = B Vezalna shema števca z modulom m = 3: J K A J 2 K B Značilnosti vezalne sheme števca: - vezava obeh ff je sinhronska glede na signal - število ff 'n' ustreza modulu: m 2 n - vhod ff je vezan praviloma direktno ali pa posredno na izhod predhodnega ff, njegov izhod pa praviloma na vhod naslednjega flip-flopa Logične funkcije vhodov števca za: m = 5 m = 0 m = 6 A: JA = C; KA = C A: JA = A; KA = A A: JA = A; KA = A B: JB = A; KB = A B: JB = A.; KB = A. B: JB = A; KB = A C: JC = A.B; KC = C C: JA = A.B; KA = A.B C: JA = A.B; KA = A.B : J = A.B.C; KA = A : J = A.B.C; KA = A.B.C Asinhroni števci se uporabljajo kot 2 n delilniki. a dobimo 2 n delilnik, moramo izhod predhodnega ff vezati na naslednjega ff. Slaba stran teh števcev je prisotnost motilnih signalov kot posledica asinhronih preklopov in časovnih zakasnitev (glitch) J A K J B K OUT A B OUT napaka prehoda signala igitalni sistemi 2

22 Sinhroni števci Na krmilne vhode flip-flopov pripeljemo vzporedno taktne impulze. Zaradi tega se vsi izhodi preklapljajo sočasno. So hitrejši, ker se časovni zamik pojavlja v enkratni vrednosti. Hitrost delovanja je odvisna od uporabljene tehnologije in veljajo naslednji orientacijski zakasnilni časi: TTL 0ns, CMOS 2 s. J A K J B K OUT A A B OUT Značilni parametri števcev: - nastavljivost je možnost nastavitve izhodov števca na 0 ali na določeno binarno stanje v okviru modula m (LOA) - kodiranje dekodiranje; možnost štetja v binarni kodi, BC kodi, - krmiljenje; odvisno je od tipa števca in sicer s pozitivno ali negativno fronto; možna uporaba asinhronih krmilnih signalov (ENABLE: E = 0 štetje onemogočeno, E = štetje omogočeno), - smer štetja; poznamo prištevalne, odštevalne in števce GO / OL (UP / OWN) - povezljivost v kaskado; z zaporedno povezavo delilnikov, ki omogočajo deljenje vhodne frekvence z n, n 2, n 3, dobimo delilnik s skupnim faktorjem n = n. n 2. n 3; primer kaskadne povezave dveh destiških števcev BC (m = 0), od katerih vsak deli z n= 0, kjer dobimo skupni faktor deljenja n = 00: LOW EN HIGH EN Tipi števcev v IC izvedbi: 7470 m=0, gor, asinhronski, f max. = 32 MHz, 7493 m=6, gor, asinhronski, f max. = 32 MHz, 7460 m=0, gor, sinhronski, f max. = 32 MHz, 7490 m=0, gor/dol, sinhronski, f max. = 30 MHz, 406 m=0, dol, sinhronski, f max. = 8 MHz, 408 m=6, dol, sinhronski, f max. = 8 MHz, 7490 univerzalni BC / dekadni nastavljivi števec CTEN ' /U' CLK LOA' A B C A B C MAX/MIN CO' 0 X X 0 x x x x A B C 2 0 x x x x štetje dol x x x x štetje gor 2 X X X x x x x A0 B0 C : MAX/MIN = / ŠTEVEC = 9 PI ŠTETJU GO IN MAX/MIN = / ŠTEVEC = 0 PI ŠTETJU OL - 2: CO' = 0 / ŠTEVEC = 9 PI ŠTETJU GO IN CO' = 0 / ŠTEVEC = 0 PI ŠTETJU OL igitalni sistemi 22

23 Primer delilnika v izvedbi z ff in pripadajoči izhodni signali (izvedba vezja v EWB): A B C igitalni sistemi 23

24 4.5 Sekvenčno - koračna krmilja Splošno oblika zapisa algoritma koračnega krmilja in primer za semafor Primer izdelave koračnega krmilja Algoritem delovanja tekst algoritem - cikel je sestavljen iz 3 zaporednih korakov: K, K2, K3, ki se avtomatsko ponavljajo - vklop cikla krmilja preko stikala S0, ko S0 izklopimo, se cikel prekine - čas trajanja posameznega koraka je nastavljiv, tov. nastavitve: K = 5s, K2 = 0 s, K3 = 0 s - frekvenca K2 in K3 je nastavljiva, tov. nastavitve: K2=Hz, K3=2 Hz 2 Časovni diagram delovanja koračnega krmilja igitalni sistemi 24

25 3 Simulacija Winfact 3. Osnovna koračna veriga 3.2 Končna krmilna shema igitalni sistemi 25

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD ( 5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (angl. Complex Programmable Logic Device) so manjša

Prikaži več

DES11_realno

DES11_realno Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Delovanje realnega vezja Omejitve modela vezja 1 Model v VHDLu je poenostavljeno

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Model vezja Računalniški model in realno vezje Model logičnega negatorja Načini

Prikaži več

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvantnih celičnih avtomatov SEMINARSKA NALOGA Univerzitetna

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Zaporedni vmesniki Zaporedni (serijski) vmesniki Zaporedni (serijski) vmesniki

Prikaži več

CelotniPraktikum_2011_verZaTisk.pdf

CelotniPraktikum_2011_verZaTisk.pdf Elektrotehniški praktikum Osnove digitalnih vezij Namen vaje Videti, kako delujejo osnovna dvovhodna logi na vezja v obliki integriranih vezij oziroma, kako opravljajo logi ne funkcije Boolove algebre.

Prikaži več

DES11_vmesniki

DES11_vmesniki Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki in sekvenčna vezja Zaporedna in vzporedna vodila 1 Vmesniki in vodila

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Osnove jezika VHDL Strukturno načrtovanje in testiranje Struktura vezja s komponentami

Prikaži več

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila Programsko orodje LabVIEW za kreiranje, zajem in obdelavo signalov (statične in dinamične karakteristike hidravličnih proporcionalnih ventilov) Marko Šimic Telefon: +386 1 4771 727 e-mail: marko.simic@fs.uni-lj.si

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 4 - AV 4 Linije LTSpice, simulacija elektronskih vezij VIN - LV 1 Rozman,Škraba, FRI LTSpice LTSpice: http://www.linear.com/designtools/software/ https://www.analog.com/en/design-center/design-tools-andcalculators/ltspice-simulator.html

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Programirljivi Digitalni Sistemi Digitalni sistem Digitalni sistemi na integriranem vezju Digitalni sistem

Prikaži več

Slide 1

Slide 1 Tehnike programiranja PREDAVANJE 10 Uvod v binarni svet in računalništvo (nadaljevanje) Logične operacije Ponovitev in ilustracija Logične operacije Negacija (eniški komplement) Negiramo vse bite v besedi

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 12. junij 2013 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funkciji izbiralnika. Tisti od 2 n izhodov y 0,.., y 2

Prikaži več

Logični modul LOGO!

Logični modul LOGO! Logični modul LOGO! LOGO! Siemensov univerzalni logični modul LOGO! vsebuje: Krmilno enoto Enoto za prikaz in tipkovnico Napajalno vezje Vmesnik za spominski modul in PC kabel Funkcije, pripravljene za

Prikaži več

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajanje prekinitvene rutine Dogodek GLAVNI PROGRAM (MAIN-OB1)

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki Vodila, vzporedni (paralelni) vmesniki Vmesniki in vodila naprava 1

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 11. junij 2014 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Microsoft Word - avd_vaje_ars1_1.doc

Microsoft Word - avd_vaje_ars1_1.doc ARS I Avditorne vaje Pri nekem programu je potrebno izvršiti N=1620 ukazov. Pogostost in trajanje posameznih vrst ukazov računalnika sta naslednja: Vrsta ukaza Štev. urinih period Pogostost Prenosi podatkov

Prikaži več

Šolski center celje

Šolski center celje ŠOLSKI CENTER CELJE Gimnazija Lava DVORIŠČNA VRATA NA DALJINSKO UPRAVLJANJE MENTOR: Matjaž Cizej, univ. dipl. inž. AVTOR: Roman Leban, L-4.F Celje, marec 2010 KAZALO VSEBINE 1 POVZETEK /SUMMARY... 1 2

Prikaži več

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo Turingov stroj in programiranje Barbara Strniša 12. 4. 2010 1 Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolov (običajno Σ 2) Σ n = {s 1 s 2... s n ; s i Σ, i =

Prikaži več

Microsoft Word - Avditorne.docx

Microsoft Word - Avditorne.docx 1. Naloga Delovanje oscilatorja je odvisno od kapacitivnosti kondenzatorja C. Dopustno območje izhodnih frekvenc je podano z dopustnim območjem kapacitivnosti C od 1,35 do 1,61 nf. Uporabljen je kondenzator

Prikaži več

_ _BDA_CapitalSports_CS-Timer.indd

_ _BDA_CapitalSports_CS-Timer.indd 10028194 10029391 CS Timer 6 Spoštovani kupci, Čestitamo Vam za nakup. Prosimo, da skrbno preberete navodilo in da skrbite za nasvete o namestitvi in uporabi, da bi ste izognili tehničnim poškodbam. Za

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Mario Trifković Programljivi 6 Timer Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, junij 2009 Mario Trifković Programljivi 6 Timer 2 1.

Prikaži več

Microsoft PowerPoint - NDES_8_USB_LIN.ppt

Microsoft PowerPoint - NDES_8_USB_LIN.ppt Laboratorij za na rtovanje integriranih vezij Fakulteta za elektrotehniko Univerza v Ljubljani ndrej Trost artovanje digitalnih el. sistemov Komunikacijski vmesniki UB in LI http://lniv.fe.uni-lj.si/ndes.html

Prikaži več

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni RAM stroj Nataša Naglič 4. junij 2009 1 RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni trak, pomnilnik ter program. Bralni trak- zaporedje

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno-izhodne naprave naprave 1 Uvod VIN - 1 2018, Igor Škraba, FRI Vsebina 1 Uvod Signal električni signal Zvezni signal Diskretni signal Digitalni signal Lastnosti prenosnih medijev Slabljenje Pasovna

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 5 - LV 1 Meritve dolžine in karakteristične impedance linije VIN - LV 1 Rozman,Škraba, FRI Model linije Rs Z 0, Vs u i u l R L V S - Napetost izvora [V] R S -

Prikaži več

seminarska_naloga_za_ev

seminarska_naloga_za_ev Univerza v Ljubljani Fakulteta za elektrotehniko Matevž Seliger 8-kanalni Lightshow Seminarska naloga pri predmetu: V Horjulu, junij 2008 Kazalo: 1 Uvod... 3 1.1 Namen in uporaba izdelka... 3 2 Delovanje...

Prikaži več

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampreht, Luka Stepančič, Igor Vizec, Boštjan Žankar Povzetek

Prikaži več

Strojna oprema

Strojna oprema Asistenta: Mira Trebar, Miha Moškon UIKTNT 2 Uvod v programiranje Začeti moramo razmišljati algoritmično sestaviti recept = napisati algoritem Algoritem za uporabo poljubnega okenskega programa. UIKTNT

Prikaži več

ARS1

ARS1 Nepredznačena in predznačena cela števila Dvojiški zapis Nepredznačeno Predznačeno 0000 0 0 0001 1 1 0010 2 2 0011 3 3 Pri odštevanju je stanje C obratno (posebnost ARM)! - če ne prekoračimo 0 => C=1 -

Prikaži več

VARIMOT® in pribor

VARIMOT® in pribor Pogonska tehnika \ Avtomatizacija pogonov \ Sistemska integracija \ Storitve *2593728_0119* Popravki Variatorska gonila s protieksplozijsko zaščito VARIMOT in pribor Izdaja 01/2019 2593728/SL SEW-EURODRIVE

Prikaži več

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Žiga Mlakar PROGRAMIRLJIVE STRUKTURE FPGA NIZKE KOMPLEKSNOSTI Diplomsko delo Maribor, september 2014 PROGRAMIRLJIVE STRUKTURE

Prikaži več

Microsoft Word - D1_D8_Prakticno_izobrazevanje_PRI.doc

Microsoft Word - D1_D8_Prakticno_izobrazevanje_PRI.doc KATALOG ZNANJA 1. IME PREDMETA PRAKTIČNO IZOBRAŽEVANJE (PRI) 2. SPLOŠNI CILJI Študent: - spozna realno delovno okolje, - si pridobi praktična znanja in izkušnje za delo na področju elektronike, - si pridobi

Prikaži več

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 II Diplomsko delo visokošolskega strokovnega študijskega programa DETEKTOR FAZNE NAPAKE OPTIČNEGA ENKODERJA

Prikaži več

VHF1-VHF2

VHF1-VHF2 VHF BREZŽIČNI MIKROFONSKI KOMPLET VHF1: 1 CHANNEL VHF2: 2 CHANNELS NAVODILA ZA UPORABO SLO Hvala, ker ste izbrali naš BREZŽIČNI MIKROFONSKI KOMPLET IBIZA SOUND. Za vašo lastno varnost, preberite ta navodila

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Državni izpitni center *M77* SPOMLADANSK ZPTN OK NAVODLA ZA OCENJEVANJE Petek, 7. junij 0 SPLOŠNA MATA C 0 M-77-- ZPTNA POLA ' ' QQ QQ ' ' Q QQ Q 0 5 0 5 C Zapisan izraz za naboj... točka zračunan naboj...

Prikaži več

Microsoft PowerPoint - ORS-1.ppt

Microsoft PowerPoint - ORS-1.ppt ORGANIZACIJA RAČUNALNIŠKIH SISTEMOV Lastnosti integriranih digitalnih vezij ORS 2013, Igor Škraba, FRI Von Neumannov model računalnika (= matematični model in dejanski računalnik) ne določa tehnologije,

Prikaži več

KRMILNA OMARICA KO-0

KRMILNA OMARICA KO-0 KOTLOVSKA REGULACIJA Z ENIM OGREVALNIM KROGOM Siop Elektronika d.o.o., Dobro Polje 11b, 4243 Brezje, tel.: +386 4 53 09 150, fax: +386 4 53 09 151, gsm:+386 41 630 089 e-mail: info@siopelektronika.si,

Prikaži več

STAVKI _5_

STAVKI _5_ 5. Stavki (Teoremi) Vsebina: Stavek superpozicije, stavek Thévenina in Nortona, maksimalna moč na bremenu (drugič), stavek Tellegena. 1. Stavek superpozicije Ta stavek določa, da lahko poljubno vezje sestavljeno

Prikaži več

Poskusi s kondenzatorji

Poskusi s kondenzatorji Poskusi s kondenzatorji Samo Lasič, Fakulteta za Matematiko in Fiziko, Oddelek za fiziko, Ljubljana Povzetek Opisani so nekateri poskusi s kondenzatorji, ki smo jih izvedli z merilnim vmesnikom LabPro.

Prikaži več

Datum in kraj

Datum in kraj Ljubljana, 5. 4. 2017 Katalog znanj in vzorci nalog za izbirni izpit za vpis na magistrski študij Pedagoško računalništvo in informatika 2017/2018 0 KATALOG ZNANJ ZA IZBIRNI IZPIT ZA VPIS NA MAGISTRSKI

Prikaži več

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k 10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, ki ga sprejme antena in dodatni šum T S radijskega sprejemnika.

Prikaži več

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, 2013 5.marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zaporedno ali b) vzporedno Določi nadomestno upornost

Prikaži več

Microsoft Word - CNC obdelava kazalo vsebine.doc

Microsoft Word - CNC obdelava kazalo vsebine.doc ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo mesto, april 2008 Ime in priimek študenta ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo

Prikaži več

Base NET.cdr

Base NET.cdr Rešitev fiksnega radijskega odčitavanja Delovanje BaseNet je način odčitavanja porabe vode, toplote, elektrike, plina in delilnikov toplote v fiksnem radijskem omrežju. Merilnike v Sensus Base sistemu

Prikaži več

Microsoft Word - vaje2_ora.doc

Microsoft Word - vaje2_ora.doc II UKAZI 1. Napišite zaporedje ukazov, ki vrednost enobajtne spremenljivke STEV1 prepiše v enobajtno spremenljivko STEV2. Nalogo rešite z neposrednim naslavljanjem (zaporedje lahko vsebuje le 2 ukaza v

Prikaži več

Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefo

Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefo Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefonih. Obstaja precej različic, sam pa sem sestavil meni

Prikaži več

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Matevž Belej AVTOMATIZIRANA HIDRAVLIČNA STISKALNICA ZA ODPADNO EMBALAŽO

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Matevž Belej AVTOMATIZIRANA HIDRAVLIČNA STISKALNICA ZA ODPADNO EMBALAŽO UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Matevž Belej AVTOMATIZIRANA HIDRAVLIČNA STISKALNICA ZA ODPADNO EMBALAŽO Diplomsko delo Maribor, avgust 2016 AVTOMATIZIRANA

Prikaži več

an-01-Stikalo_za_luc_za_na_stopnisce_Zamel_ASP-01.docx

an-01-Stikalo_za_luc_za_na_stopnisce_Zamel_ASP-01.docx SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 146 29 41 www.conrad.si NAVODILA ZA UPORABO Časovno stikalo za luč za na stopnišče Zamel ASP-01 Kataloška št.: 146 29 41 KAZALO OPIS NAPRAVE... 3 LASTNOSTI...

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA NAMESTITEV IN UPORABO Št. izd. : 122383 www.conrad.si ROČNI OSCILOSKOP VELLEMAN HPS140 Št. izdelka: 122383 1 KAZALO 1 MED UPORABO... 3 2 LASTNOSTI IN TEHNIČNI PODATKI... 3 3 OPIS SPREDNJE

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec 2.TRAN analiza (Analiza v časovnem prostoru) Iskanje odziva nelinearnega dinamičnega vezja v časovnem prostoru Prehodni pojavi Stacionarno

Prikaži več

PodroĊje uporabe

PodroĊje uporabe Regulator Dialog za sisteme s toplotno črpalko Področje uporabe Regulator DIALOG tč je namenjen vodenju ogrevanja in hlajenja v družinskih hišah s pomočjo toplotne črpalke kot samostojnim virom ali v kombinaciji

Prikaži več

Uradni list RS - 12(71)/2005, Mednarodne pogodbe

Uradni list RS - 12(71)/2005, Mednarodne pogodbe PRILOGA 3 Osnovne značilnosti, ki se sporočajo za usklajevanje 1. Zgradba podatkovne zbirke Podatkovno zbirko sestavljajo zapisi, ločeni po znakovnih parih "pomik na začetek vrstice pomik v novo vrstico"

Prikaži več

Krmiljenje elektromotorj ev

Krmiljenje elektromotorj ev Krmiljenje elektromotorj ev Če enosmerni elektromotor priključimo na vir enosmerne napetosti, se gred motorja vrti ves čas v isto smer. Zamenjamo priključka (pola) baterije. Gred elektromotorja se vrti

Prikaži več

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s 1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni smeri obnaša kot nelinearen kondenzator, ki mu z višanjem

Prikaži več

Vacon 100 FLOW Application Manual

Vacon 100 FLOW Application Manual vacon 100 flow FREKVENČNI PRETVORNIKI NAVODILA ZA NASTAVITEV PARAMETROV PREDGOVOR VACON 3 PREDGOVOR ID dokumenta: DPD01560E Datum: 18.3.2016 Različica programske opreme: FW0159V013 O TEM PRIROČNIKU Avtorske

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA NAMESTITEV IN UPORABO Št. izd. : 343712 www.conrad.si RADIO BUDILKA SANGEAN RCR-3 Št. izdelka: 343712 1 KAZALO 1 POMEMBNI VARNOSTNI NAPOTKI...3 2 UPRAVLJALNI ELEMENTI...4 3 LCD ZASLON...6

Prikaži več

Področje uporabe

Področje uporabe Regulator Področja uporabe Regulator DIALOG EQ je namenjen predvsem vodenju in nadziranju sistemov ogrevanja in hlajenja, lahko pa se uporabi tudi na različnih področjih avtomatizacije in inteligentnih

Prikaži več

Microsoft Word - EV,N_Poglavje o modulacijah.doc

Microsoft Word - EV,N_Poglavje o  modulacijah.doc E,VN- Elektronska vezja, naprave 8 MODULACIJSKE TEHNIKE Modulacijske tehnike 8.1 SPLOŠNO O MODULACIJAH Modulacija je postopek, ki omogoča zapis koristnega signala na nosilni signal. Za nosilni signal je

Prikaži več

Microsoft Word - NAVODILA ZA UPORABO.docx

Microsoft Word - NAVODILA ZA UPORABO.docx NAVODILA ZA UPORABO VODILO CCM-18A/N-E (K02-MODBUS) Hvala ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shranite za prihodnjo rabo. Vsebina

Prikaži več

DKMPT

DKMPT Tračnice, na katere so moduli fizično nameščeni. Napajalniki (PS), ki zagotavljajo ustrezno enosmerno napajalno napetost za module. Centralne procesne enote (CPU Central Processing Unit). Signalni moduli

Prikaži več

Vgrajeni sistemi Uvod & ponovitev C

Vgrajeni sistemi Uvod & ponovitev C Analogno-digitalna pretvorba Vgrajeni sistemi 2015/16 Rok Češnovar STM32F4 in ADC imamo 3 ADC naprave (ADC1, ADC2, ADC3) vsaka naprava ima 16 vhodov 8 vhodov je vezanih na vse 3 naprave 8 vhodov je vezanih

Prikaži več

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah O opozorilih OPOZORILO: OPOZORILO označuje možnost poškodb lastnine, telesnih poškodb ali smrti. Dell Vostro 430 List s tehničnimi informacijami o nastavitvi in funkcijah Pogled s sprednje in zadnje strani

Prikaži več

VIN Lab 1

VIN Lab 1 Vhodno izhodne naprave Laboratorijska vaja 1 - AV 1 Signali, OE, Linije VIN - LV 1 Rozman,Škraba, FRI Laboratorijske vaje VIN Ocena iz vaj je sestavljena iz ocene dveh kolokvijev (50% ocene) in iz poročil

Prikaži več

Microsoft Word - NABOR MERILNE OPREME doc

Microsoft Word - NABOR MERILNE OPREME doc organizacijski predpis Na podlagi 5. člena Uredbe o načinu izvajanja gospodarske javne službe dejavnost sistemskega operaterja distribucijskega omrežja električne energije in gospodarske javne službe dobava

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : ržavni izpitni center *M15178112* SPOMLNSKI IZPITNI ROK Izpitna pola 2 Četrtek, 4. junij 2015 / 90 minut ovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali

Prikaži več

Uradni list Republike Slovenije Št. 17 / / Stran 2557 Verzija: v1.0 Datum: Priloga 1: Manevri in tolerance zadovoljive izurjeno

Uradni list Republike Slovenije Št. 17 / / Stran 2557 Verzija: v1.0 Datum: Priloga 1: Manevri in tolerance zadovoljive izurjeno Uradni list Republike Slovenije Št. 17 / 10. 4. 2017 / Stran 2557 Verzija: v1.0 Datum: 26.07.2016 Priloga 1: Manevri in tolerance zadovoljive izurjenosti V nadaljevanju je opisan programa leta in s tem

Prikaži več

REALIZACIJA ELEKTRONSKIH SKLOPOV

REALIZACIJA ELEKTRONSKIH SKLOPOV Načrtovanje zaemc = elektronike 2 1 Katedra za elektroniko 2 Čemu? 3 Kdo? Katedra za elektroniko 4 Izziv: DC/DC stikalni napajalnik navzdol U vhod Vhodno sito Krmilno integrirano vezje NMOSFET NMOSFET

Prikaži več

Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0

Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0 Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0 Hz Neomejeno 49,0 Hz-51,0 Hz Neomejeno 51,0 Hz-51,5

Prikaži več

COBISS3/Medknjižnična izposoja

COBISS3/Medknjižnična izposoja 3/Medknjižnična izposoja 2.2 KATALOG Katalog nam omogoča: iskanje gradiva prikaz izbranih bibliografskih zapisov ali pripadajočih podatkov o zalogi iz lokalne baze podatkov v formatu COMARC vpogled v stanje

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Digitalni sistemi Vgrajeni digitalni sistemi Digitalni sistem: osebni računalnik

Prikaži več

Diapozitiv 1

Diapozitiv 1 RAČUNALNIŠKA ARHITEKTURA 5 Operandi RA - 5 2018, Škraba, Rozman, FRI Predstavitev informacije - vsebina 5 Operandi - cilji: Razumevanje različnih formatov zapisovanja operandov Abecede (znaki) Števila

Prikaži več

an-01-sl-Temperaturni_zapisovalnik_podatkov_Tempmate.-S1.docx

an-01-sl-Temperaturni_zapisovalnik_podatkov_Tempmate.-S1.docx SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 14 24 835 www.conrad.si NAVODILA ZA UPORABO Temperaturni zapisovalnik podatkov Tempmate. S1 Kataloška št.: 14 24 835 KAZALO 1. OPIS PROGRAMSKE OPREME ZA NAPRAVO...

Prikaži več

innbox_f60_navodila.indd

innbox_f60_navodila.indd Osnovna navodila Komunikacijski prehod Innbox F60 SFP AC Varnostna opozorila Pri uporabi opreme upoštevajte naslednja opozorila in varnostne ukrepe. Da bi v največji meri izkoristili najnovejšo tehnologijo

Prikaži več

Osnove matematicne analize 2018/19

Osnove matematicne analize  2018/19 Osnove matematične analize 2018/19 Neža Mramor Kosta Fakulteta za računalništvo in informatiko Univerza v Ljubljani Funkcija je predpis, ki vsakemu elementu x iz definicijskega območja D f R priredi natanko

Prikaži več

Microsoft Word - UNI_Fekonja_Andrej_1988

Microsoft Word - UNI_Fekonja_Andrej_1988 Andrej Fekonja VODENJE TRANSPORTNEGA SISTEMA BOSCH TS S PROGRAMSKIM PAKETOM MATLAB/SIMULINK Diplomsko delo Maribor, september 20 I Diplomsko delo univerzitetnega študijskega programa VODENJE TRANSPORTNEGA

Prikaži več

Optimizacija z roji delcev - Seminarska naloga pri predmetu Izbrana poglavja iz optimizacije

Optimizacija z roji delcev - Seminarska naloga pri predmetu Izbrana poglavja iz optimizacije Univerza v Ljubljani Fakulteta za matematiko in fiziko Seminarska naloga pri predmetu Izbrana poglavja iz optimizacije 2. junij 2011 Koncept PSO Motivacija: vedenje organizmov v naravi Ideja: koordinirano

Prikaži več

AME 110 NL / AME 120 NL

AME 110 NL / AME 120 NL Pogoni za zvezni regulacijski signal AME 110 NL, AME 120 NL Opis Ti pogoni se uporabljajo skupaj z kombiniranimi avtomatskimi omejevalniki pretoka z regulacijskim ventilom AB-QM DN 10 - DN 32. Ta pogon

Prikaži več

Watch 40_MT40X_UM_SL.pdf

Watch 40_MT40X_UM_SL.pdf Navodila za uporabo MT40X Vsebina 1 1 Glej pregled... 1 Tipka za vklop/izklop... 1 2 2 Odstranite trakove... 2 Pripenjanje novih trakov... 3 3 3... 3... 4 Vklop ure... 4... 4 Jezik... 4 4 5 5 5 6 6 7...

Prikaži več

Microsoft Word - UP_Lekcija04_2014.docx

Microsoft Word - UP_Lekcija04_2014.docx 4. Zanka while Zanke pri programiranju uporabljamo, kadar moramo stavek ali skupino stavkov izvršiti večkrat zaporedoma. Namesto, da iste (ali podobne) stavke pišemo n-krat, jih napišemo samo enkrat in

Prikaži več

FOTO

FOTO SLO FKP500 Oddaljeni prikazovalnik Navodila za montažo in uporabo DS80KP52-001 LBT80203 1/11 FKP500 1 GLAVNA VARNOSTNA NAVODILA 1.1 VARNOST POSAMEZNIKA Glavnim varnostnim navodilom se mora dosledno slediti.

Prikaži več

Microsoft PowerPoint _12_15-11_predavanje(1_00)-IR-pdf

Microsoft PowerPoint _12_15-11_predavanje(1_00)-IR-pdf uporaba for zanke i iz korak > 0 oblika zanke: for i iz : korak : ik NE i ik DA stavek1 stavek2 stavekn stavek1 stavek2 stavekn end i i + korak I&: P-XI/1/17 uporaba for zanke i iz korak < 0 oblika zanke:

Prikaži več

NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: Faks.: in

NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: Faks.: in NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: +386 1 729 6 460 Faks.: +386 1 729 6 466 www.nevtrin.si info@elektrina.si USB RFID READER Navodila za uporabo?

Prikaži več

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Trditev: idealni enosmerni tokovni vir obratuje z močjo

Prikaži več

Besedilo naloge:

Besedilo naloge: naliza elektronskih komponent 4. Vaja: Preverjanje delovanja polprevodniških komponent Polprevodniške komponente v močnostnih stopnjah so pogosto vzrok odpovedi, zato je poznavanje metod hitrega preverjanja

Prikaži več

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 10 24 54 www.conrad.si NAVODILA ZA UPORABO Impulzni števec Hengstler Tico 731, litijeva baterija, 8-mestni CR0731101 Kataloška št.: 10 24 54 Kazalo 1. Navodila

Prikaži več

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje priročno programsko okolje tolmač interpreter (ne prevajalnik)

Prikaži več

(Microsoft Word - 3. Pogre\232ki in negotovost-c.doc)

(Microsoft Word - 3. Pogre\232ki in negotovost-c.doc) 3.4 Merilna negotovost Merilna negotovost je parameter, ki pripada merilnem rezltat. Označje razpršenost vrednosti, ki jih je mogoče z določeno verjetnostjo pripisati merjeni veličini. Navaja kakovost

Prikaži več

Cenik cene so brez DDV OG REVALN A TEHN I KA KONDENZACIJSKI KOTLI NA KURILNO OLJE Oljni kondenzacijski kotel - GTU C Lastnosti: Kompakten

Cenik cene so brez DDV OG REVALN A TEHN I KA KONDENZACIJSKI KOTLI NA KURILNO OLJE Oljni kondenzacijski kotel - GTU C Lastnosti: Kompakten KONDENZACIJSKI KOTLI NA KURILNO OLJE Oljni kondenzacijski kotel - GTU C Lastnosti: Kompakten kondenzacijski kotel na kurilno olje Tovarniško prigrajen in nastavljen EcoNOx gorilnik (NOx

Prikaži več

Microsoft Word - Dokument1

Microsoft Word - Dokument1 Specifikacije Leto modela LETO MODELA 2019 Dimenzije in teža ŠIRINA STOJALA Pribl. 72,8 cm VELIKOST ZASLONA (PALCI, PO DIAGONALI) 50 palcev (49,5 palca) VELIKOST ZASLONA (CENTIMETRI, PO DIAGONALI) 125,7

Prikaži več

Document ID / Revision : 0519/1.3 ID Issuer System (sistem izdajatelja identifikacijskih oznak) Navodila za registracijo gospodarskih subjektov

Document ID / Revision : 0519/1.3 ID Issuer System (sistem izdajatelja identifikacijskih oznak) Navodila za registracijo gospodarskih subjektov ID Issuer System (sistem izdajatelja identifikacijskih oznak) Navodila za registracijo gospodarskih subjektov Gospodarski subjekti Definicija: V skladu z 2. členom Izvedbene uredbe Komisije (EU) 2018/574

Prikaži več

LINEARNA ELEKTRONIKA

LINEARNA ELEKTRONIKA Linearna elektronika - Laboratorijske vaje 1 LINERN ELEKTRONIK LBORTORIJSKE VJE Priimek in ime : Skpina : Datm : 1. vaja : LSTNOSTI DVOVHODNEG VEZJ Naloga : Za podano ojačevalno stopnjo izmerite h parametre,

Prikaži več

Procesorski sistemi v telekomunikacijah

Procesorski sistemi v telekomunikacijah Procesorski sistemi v telekomunikacijah Komunikacija v procesorskih sistemih (c) Arpad Bűrmen, 2010-2012 Sinhrona komunikacija Podatkovne linije + sinhronizacijski signal Sihnronizacijski signal določa

Prikaži več

Microsoft Word - Navodila_NSB2_SLO.doc

Microsoft Word - Navodila_NSB2_SLO.doc Borovniško naselje 7 1412 Kisovec Slovenija Tel.: +386(0) 356 72 050 Fax.: +368(0)356 71 119 www.tevel.si Lastno varni napajalnik Tip NSB2/xx (NAVODILA ZA UPORABO) Navodila_NSB2_SLO.doc2/xx Stran 1 od

Prikaži več

Microsoft PowerPoint - ads

Microsoft PowerPoint - ads Novosti pri analogni video-nadzorni opremi Junij 2012 1. Dnevno/nočna kamera ADS-CAM-K2DNC 2. Snemalniki ADS-LIGHT: ADS-0404DH ADS-0804DH ADS-1604DH ADS-0404HED ADS-CAM-K2DNC Dnevno / nočna kamera z IR

Prikaži več

ELEKTRIČNI NIHAJNI KROG TEORIJA Električni nihajni krog je električno vezje, ki služi za generacijo visokofrekvenče izmenične napetosti. V osnovi je "

ELEKTRIČNI NIHAJNI KROG TEORIJA Električni nihajni krog je električno vezje, ki služi za generacijo visokofrekvenče izmenične napetosti. V osnovi je ELEKTRIČNI NIHAJNI KROG TEORIJA Električni nihajni krog je električno vezje, ki služi za generacijo visokofrekvenče izmenične napetosti. V osnovi je "električno" nihalo, sestavljeno iz vzporedne vezave

Prikaži več