CelotniPraktikum_2011_verZaTisk.pdf

Velikost: px
Začni prikazovanje s strani:

Download "CelotniPraktikum_2011_verZaTisk.pdf"

Transkripcija

1 Elektrotehniški praktikum Osnove digitalnih vezij Namen vaje Videti, kako delujejo osnovna dvovhodna logi na vezja v obliki integriranih vezij oziroma, kako opravljajo logi ne funkcije Boolove algebre. Poskusiti na rtati preprost avtomat s predvideno funkcijo. Pravilnostno tabelo avtomata se boste nau ili izdelati s pomo jo zahtev za delovanje. Kako vpisati podatek v vezje RAM. Uporaba RAMa za preproste avtomate. Logi na vezja, dinami na vezja Zamislimo si, da lo imo digitalna logi na vezja na odlo itvena in spominska (dinami na). V odlo itvenih vezjih naj bo stanje na izhodu odvisno le od trenutnih stanj na vhodih. V spominskih pa naj bo izhodno stanje odvisno od trenutnih stanj na vhodih in od prejšnjih stanj na vhodih ali izhodih. Postopek Slika N.19.1: Dolo anje vrste logi nih vezij A. Dvovhodna digitalna vezja Pripravite pravilnostne tabele dvovhodnih logi nih vezij, ki jih podajajo Boole-ove ena be za štiri osnovne logi ne funkcije. IN NE IN ALI NE ALI X 1 X 2 Y X 1 X 2 Y X 1 X 2 Y X 1 X 2 Y Preizkusite delovanje danih dvovhodnih logi nih vezij, ki so zaprta v rnih škatlah

2 Navodila za vaje Drugo za drugim preizkusite dana logi na vezja (LV) in jim pripišite, katero logi no funkcijo opravljajo! Gore a svetle a dioda (LED) pomeni visok izhodni logi ni nivo, ugasnjena pa nizek nivo, kar je zna ino za pozitivno logiko. Rezultate preizkusa pripadnosti vezij skupinam logi nih vezij zapišite v skupno pravilnostno tabelo. vhod X 1 vhod X 2 izhod Y 1 izhod Y 2 izhod Y 3 izhod Y 4 izhod Y 5 vezje opravlja funkcijo Zapišite pravilnostno tabelo NE ALI vezja, e ima vezje tri vhode! X 1 X 2 X 3 Y B. Na rtovanje preprostega avtomata Imate sestavljen avtomat, ki bo pognal motor ventilatorja. Radi bi, da se motor zavrti, ko bo izpolnjen pogoj: prisotna zadostna osvetlitev in obenem temperatura v okolici presega telesno temperaturo. Katero logi no funkcijo opravljajo digitalna vezja in koliko logi nih vezij smo potrebovali?

3 Elektrotehniški praktikum Na rt vezja podaja slika N Katere tipalnike (senzorje) smo potrebovali? 1 & & Slika N.19.2: Predlog vezave avtomata ventilatorja Kako vezje deluje? Zakaj porebujemo transistor (BC 107)? Sestavljeno vezje preizkusite. Komentirajte delovanje! Vprašanja v razmislek Kako bi vezje spremenili, e bi hoteli dodati še pogoj za relativno zra no vlago? Poznate morda tipalnik za relativno zra no vlago? Kdaj se požene motor v klimatski napravi, e spremljamo temperaturo in vlažnost? Pogoj osvetljenosti naj bo zgolj za razlikovanje med dnevom in no jo. Opišite, kako naj bi se avomat obnašal, nato pa sestavite še pravilnostno tabelo za novo vezje. Na prikazovalniku želimo izpis trenutne porabe v litrih na 100 kilometrov. Kako si predstavljate delovanje merilnika porabe goriva v avtomobilu?

4 Navodila za vaje Pomnilna vezja Na izhodnih sponkah pomnilnih vezij beremo shranjene podatke oz. stanja (logi nih 0 in 1). Dolo en niz stanj lahko preberemo, e vnesemo pravo zaporedje stanj (naslov). Shranjena stanja so v pomnilnih vezjih zapisana na oz. izbrisljiva razli ne na ine (z ultravijoli no svetlobo, s posebnimi vezji), shranjena stanja imajo tudi razli no trajnost. V vezjih ROM so podatki 'neizbrisljivi', v RAM jih izbrišemo z odklopom napajanja. V stati nih RAM. Koli ina podatkov, ki jo lahko zapišemo v pomnilnik, je podana v bajtih, pomemben je tudi as dostopa do podatkov (ang. access time). C.1 Vezje RAM kot pomnilno vezje Vezje za vpis podatkov U DC usmernik 5V U DC R 1 T RAM 16 4 Vezje za vpis naslovov RAM 74LS289 pomnilnik RAM R 1 upor 10k R 2 upor 100k T tipka vpis/branje R 2 Vezje za izpis podatkov Slika N.19.3: Vpisovanje in branje v RAM Zamislite si poljubnih 16 podatkov, ki bodo v dvojiškem zapisu dolgi 4 bite. Podatki, kot vidite, lahko zavzamejo desetiške vrednosti od 0 do 15. Oboje vpišite v prvo razpredelnico. Vpis poljubnih števil nasl 10 nasl 2 pod 10 pod Postopek vpisovanja v RAM: postavite stikala v vezju za vpis naslovov na željeno vrednost naslova NASL 2 (stikalo v gornjem položaju in gore a LED pomenita vrednost bita 1) stikala v vezju za vpis podatkov postavite v položaje, da bodo kazala željeno število podatka POD 2 pritisnite tipko T za vpis. Na vezju za izpis podatkov se mora zasvetiti vpisan podatek POD 2 Ponovite postopek za vse naslove

5 Elektrotehniški praktikum Ko kon ate, preverite, e so podatki pravilno vpisani: spreminjajte samo položaje stikal v vezju za vpis naslovov, tipka T je v mirovnem položaju za branje. Opazujte izpisane vrednosti ( svetle e diode) v vezju za izpis podatkov. Ali si je RAM vpisane podatke zapomnil? C.2 RAM kot odlo itveno vezje Naslov naj predstavlja stanja vhodnih spremenljivk. Ker imamo v naslovu 4 bite, imamo torej možnost uporabiti RAM kot 4 vhodno odlo itveno vezje. Dolo ena kombinacija naslova naj vpliva na vrednost na enem od mest podatka. Odlo imo se za zadnje, najmanj uteženo mesto (LSB angl. least significant bit). Prakti no sploh ni potrebno, da sledimo katerikoli logi ni funkciji. Želimo naj motor v klimatski napravi deluje pono i, ob preseganju mejne vlažnosti in temperature. Vpišite pogoje v RAM! funkcija s štirimi vhodi klimatska naprava nasl 10 nasl 2 pod 10 pod 2 nasl 10 nasl 2 pod 10 pod Vprašanje v razmislek Za kakšen namen bi lahko v prometnih sistemih še uporabili vezje RAM?

6 Navodila za vaje Analogno - digitalna pretvorba sinusa Namen vaje S kon nim številom vrednosti zapisati oz. diskretizirati analogni, asovno spremenljivi signal. Postopek Podatke možno zapisati z n-biti, zato imamo na voljo 2 n razli nih vrednosti (nivojev). asovno os bomo diskretizirali z 2 m koraki. e diskretizirani analogni signal vstavljamo v pomnilniško vezje, vpisani podatki razdelijo os podatkov na 2 n delov, asovno os pa na 2 m korakov Podatek podatek, 4-bitni zapis podatek, loèljivost Naslovi, ena perioda Slika N.20.1: S štirimi biti (n = 4) zapisana polperioda sinusa, vzor ena s 16 koraki (m = 4) podatek, 4-bitni zapis podatek, loèljivost 10-2 Podatek Naslov, ena perioda Slika N.20.2: S štirimi biti (n = 4) zapisana cela perioda sinusa, en bit porabimo za predznak, vzor ena s 16 koraki (m = 4)

7 Elektrotehniški praktikum Vpišite vrednosti podatkov, ki bodo predstavljali ali (grob) približek polovice periode sinusnega signala (Slika N.20.1) ali pa cel sinus (Slika N.20.2). Naslov naj torej predstavlja vrednost asa, podatek pa trenutno vrednost sinusne funkcije. V stolpec POD 10 razpredelnice vpišite celoštevil ne približke, za vpis v stolpec POD 2 pa približke prevedite v binarni kod. Vpišite približke sinusne funkcije, e je n = 4 in m = 4! Polperioda Perioda nasl 10 nasl 2 pod 10 pod 2 nasl 10 nasl 2 pod 10 pod Generator sinusne funkcije V pomnilnik (EPROM) vpišemo približek sinusa. EPROM dobi naslove za branje vpisanega sinusa iz števnika, ki šteje vanj pritekajo e impulze. e ve impulzov prite e na asovno enoto, potem tudi eno periodo vpisanega sinusa števnik prebere v krajšem asu. Frekvenca impulzov mora biti tolikokrat ve ja od periode sinusa, na kolikor delov je razdeljen zapis ene periode sinusa. Na izhodu EPROM-a potrebujemo (bipolarni) D/A pretvornik in filter, ki izlo i višje harmonske komponente da ostane le še sinus, ki ga oja imo. ura (vir impulzov) 128kHz.. 1,28MHz delilnik :10 :100 :1000 števnik naslovov EPRO D A filter Slika N.20.3: Ponazoritev generatorja s funkcijskimi bloki

8 Navodila za vaje Vprašanje v razmislek Vzemimo, da smo periodo sinusa razdelili na 64 delov. Kolikšna bo najve ja frekvenca izhodnega sinusnega signala? Beležke

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD ( 5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (angl. Complex Programmable Logic Device) so manjša

Prikaži več

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k 10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, ki ga sprejme antena in dodatni šum T S radijskega sprejemnika.

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Digitalni sistemi Vgrajeni digitalni sistemi Digitalni sistem: osebni računalnik

Prikaži več

DES11_realno

DES11_realno Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Delovanje realnega vezja Omejitve modela vezja 1 Model v VHDLu je poenostavljeno

Prikaži več

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvantnih celičnih avtomatov SEMINARSKA NALOGA Univerzitetna

Prikaži več

Microsoft Word - CelotniPraktikum_2011_verZaTisk.doc

Microsoft Word - CelotniPraktikum_2011_verZaTisk.doc Elektrotehniški praktikum Sila v elektrostatičnem polju Namen vaje Našli bomo podobnost med poljem mirujočih nabojev in poljem mas, ter kakšen vpliv ima relativna vlažnost zraka na hitrost razelektritve

Prikaži več

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni RAM stroj Nataša Naglič 4. junij 2009 1 RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni trak, pomnilnik ter program. Bralni trak- zaporedje

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 5 - LV 1 Meritve dolžine in karakteristične impedance linije VIN - LV 1 Rozman,Škraba, FRI Model linije Rs Z 0, Vs u i u l R L V S - Napetost izvora [V] R S -

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 4 - AV 4 Linije LTSpice, simulacija elektronskih vezij VIN - LV 1 Rozman,Škraba, FRI LTSpice LTSpice: http://www.linear.com/designtools/software/ https://www.analog.com/en/design-center/design-tools-andcalculators/ltspice-simulator.html

Prikaži več

Logični modul LOGO!

Logični modul LOGO! Logični modul LOGO! LOGO! Siemensov univerzalni logični modul LOGO! vsebuje: Krmilno enoto Enoto za prikaz in tipkovnico Napajalno vezje Vmesnik za spominski modul in PC kabel Funkcije, pripravljene za

Prikaži več

Slide 1

Slide 1 Tehnike programiranja PREDAVANJE 10 Uvod v binarni svet in računalništvo (nadaljevanje) Logične operacije Ponovitev in ilustracija Logične operacije Negacija (eniški komplement) Negiramo vse bite v besedi

Prikaži več

VIN Lab 1

VIN Lab 1 Vhodno izhodne naprave Laboratorijska vaja 1 - AV 1 Signali, OE, Linije VIN - LV 1 Rozman,Škraba, FRI Laboratorijske vaje VIN Ocena iz vaj je sestavljena iz ocene dveh kolokvijev (50% ocene) in iz poročil

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 11. junij 2014 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Model vezja Računalniški model in realno vezje Model logičnega negatorja Načini

Prikaži več

LINEARNA ELEKTRONIKA

LINEARNA ELEKTRONIKA Linearna elektronika - Laboratorijske vaje 1 LINERN ELEKTRONIK LBORTORIJSKE VJE Priimek in ime : Skpina : Datm : 1. vaja : LSTNOSTI DVOVHODNEG VEZJ Naloga : Za podano ojačevalno stopnjo izmerite h parametre,

Prikaži več

Microsoft Word - Avditorne.docx

Microsoft Word - Avditorne.docx 1. Naloga Delovanje oscilatorja je odvisno od kapacitivnosti kondenzatorja C. Dopustno območje izhodnih frekvenc je podano z dopustnim območjem kapacitivnosti C od 1,35 do 1,61 nf. Uporabljen je kondenzator

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Programirljivi Digitalni Sistemi Digitalni sistem Digitalni sistemi na integriranem vezju Digitalni sistem

Prikaži več

Microsoft Word - avd_vaje_ars1_1.doc

Microsoft Word - avd_vaje_ars1_1.doc ARS I Avditorne vaje Pri nekem programu je potrebno izvršiti N=1620 ukazov. Pogostost in trajanje posameznih vrst ukazov računalnika sta naslednja: Vrsta ukaza Štev. urinih period Pogostost Prenosi podatkov

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Osnove jezika VHDL Strukturno načrtovanje in testiranje Struktura vezja s komponentami

Prikaži več

Vgrajeni sistemi Uvod & ponovitev C

Vgrajeni sistemi Uvod & ponovitev C Analogno-digitalna pretvorba Vgrajeni sistemi 2015/16 Rok Češnovar STM32F4 in ADC imamo 3 ADC naprave (ADC1, ADC2, ADC3) vsaka naprava ima 16 vhodov 8 vhodov je vezanih na vse 3 naprave 8 vhodov je vezanih

Prikaži več

Microsoft Word - NAVODILA ZA UPORABO.docx

Microsoft Word - NAVODILA ZA UPORABO.docx NAVODILA ZA UPORABO VODILO CCM-18A/N-E (K02-MODBUS) Hvala ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shranite za prihodnjo rabo. Vsebina

Prikaži več

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funkciji izbiralnika. Tisti od 2 n izhodov y 0,.., y 2

Prikaži več

Microsoft Word - CNC obdelava kazalo vsebine.doc

Microsoft Word - CNC obdelava kazalo vsebine.doc ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo mesto, april 2008 Ime in priimek študenta ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 12. junij 2013 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : ržavni izpitni center *M15178112* SPOMLNSKI IZPITNI ROK Izpitna pola 2 Četrtek, 4. junij 2015 / 90 minut ovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali

Prikaži več

Uradni list RS - 12(71)/2005, Mednarodne pogodbe

Uradni list RS - 12(71)/2005, Mednarodne pogodbe PRILOGA 3 Osnovne značilnosti, ki se sporočajo za usklajevanje 1. Zgradba podatkovne zbirke Podatkovno zbirko sestavljajo zapisi, ločeni po znakovnih parih "pomik na začetek vrstice pomik v novo vrstico"

Prikaži več

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s 1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni smeri obnaša kot nelinearen kondenzator, ki mu z višanjem

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA NAMESTITEV IN UPORABO Št. izd. : 122383 www.conrad.si ROČNI OSCILOSKOP VELLEMAN HPS140 Št. izdelka: 122383 1 KAZALO 1 MED UPORABO... 3 2 LASTNOSTI IN TEHNIČNI PODATKI... 3 3 OPIS SPREDNJE

Prikaži več

Besedilo naloge:

Besedilo naloge: naliza elektronskih komponent 4. Vaja: Preverjanje delovanja polprevodniških komponent Polprevodniške komponente v močnostnih stopnjah so pogosto vzrok odpovedi, zato je poznavanje metod hitrega preverjanja

Prikaži več

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 II Diplomsko delo visokošolskega strokovnega študijskega programa DETEKTOR FAZNE NAPAKE OPTIČNEGA ENKODERJA

Prikaži več

REALIZACIJA ELEKTRONSKIH SKLOPOV

REALIZACIJA ELEKTRONSKIH SKLOPOV Načrtovanje zaemc = elektronike 2 1 Katedra za elektroniko 2 Čemu? 3 Kdo? Katedra za elektroniko 4 Izziv: DC/DC stikalni napajalnik navzdol U vhod Vhodno sito Krmilno integrirano vezje NMOSFET NMOSFET

Prikaži več

Datum in kraj

Datum in kraj Ljubljana, 5. 4. 2017 Katalog znanj in vzorci nalog za izbirni izpit za vpis na magistrski študij Pedagoško računalništvo in informatika 2017/2018 0 KATALOG ZNANJ ZA IZBIRNI IZPIT ZA VPIS NA MAGISTRSKI

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Jernej Plankar IR vmesnik za prenos zvoka Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, avgust 2011 Jernej Plankar IR prenos zvoka 2 1 UVOD

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Mario Trifković Programljivi 6 Timer Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, junij 2009 Mario Trifković Programljivi 6 Timer 2 1.

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA NAMESTITEV IN UPORABO Št. izd. : 343712 www.conrad.si RADIO BUDILKA SANGEAN RCR-3 Št. izdelka: 343712 1 KAZALO 1 POMEMBNI VARNOSTNI NAPOTKI...3 2 UPRAVLJALNI ELEMENTI...4 3 LCD ZASLON...6

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec Pomagala za hitrejšo/boljšo konvergenco Modifikacija vezja s prevodnostimi Med vsa vozlišča in maso se dodajo upori Velikost uporov določa

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno-izhodne naprave naprave 1 Uvod VIN - 1 2018, Igor Škraba, FRI Vsebina 1 Uvod Signal električni signal Zvezni signal Diskretni signal Digitalni signal Lastnosti prenosnih medijev Slabljenje Pasovna

Prikaži več

Poskusi s kondenzatorji

Poskusi s kondenzatorji Poskusi s kondenzatorji Samo Lasič, Fakulteta za Matematiko in Fiziko, Oddelek za fiziko, Ljubljana Povzetek Opisani so nekateri poskusi s kondenzatorji, ki smo jih izvedli z merilnim vmesnikom LabPro.

Prikaži več

Microsoft Word - EV,N_Poglavje o modulacijah.doc

Microsoft Word - EV,N_Poglavje o  modulacijah.doc E,VN- Elektronska vezja, naprave 8 MODULACIJSKE TEHNIKE Modulacijske tehnike 8.1 SPLOŠNO O MODULACIJAH Modulacija je postopek, ki omogoča zapis koristnega signala na nosilni signal. Za nosilni signal je

Prikaži več

Microsoft Word - vaje2_ora.doc

Microsoft Word - vaje2_ora.doc II UKAZI 1. Napišite zaporedje ukazov, ki vrednost enobajtne spremenljivke STEV1 prepiše v enobajtno spremenljivko STEV2. Nalogo rešite z neposrednim naslavljanjem (zaporedje lahko vsebuje le 2 ukaza v

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec 2.TRAN analiza (Analiza v časovnem prostoru) Iskanje odziva nelinearnega dinamičnega vezja v časovnem prostoru Prehodni pojavi Stacionarno

Prikaži več

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, 2013 5.marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zaporedno ali b) vzporedno Določi nadomestno upornost

Prikaži več

Poročilo projekta : Učinkovita raba energije Primerjava klasične sončne elektrarne z sončno elektrarno ki sledi soncu. Cilj projekta: Cilj našega proj

Poročilo projekta : Učinkovita raba energije Primerjava klasične sončne elektrarne z sončno elektrarno ki sledi soncu. Cilj projekta: Cilj našega proj Poročilo projekta : Učinkovita raba energije Primerjava klasične sončne elektrarne z sončno elektrarno ki sledi soncu. Cilj projekta: Cilj našega projekta je bil izdelati učilo napravo za prikaz delovanja

Prikaži več

STAVKI _5_

STAVKI _5_ 5. Stavki (Teoremi) Vsebina: Stavek superpozicije, stavek Thévenina in Nortona, maksimalna moč na bremenu (drugič), stavek Tellegena. 1. Stavek superpozicije Ta stavek določa, da lahko poljubno vezje sestavljeno

Prikaži več

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod: 1-fazni 230VAC; 4 šuko vtičnica preko UPS-a; 2 šuko

Prikaži več

Uradni list RS - 71/2003, Uredbeni del

Uradni list RS - 71/2003, Uredbeni del OBRAZEC REG-MED/PRIP-I Izpolni Urad RS za zdravila Referen na številka: Datum: PRIGLASITEV MEDICINSKEGA PRIPOMO KA RAZREDA I ZA VPIS V REGISTER (izpolnite s tiskanimi rkami) Polno ime firme PODATKI O PREDLAGATELJU

Prikaži več

ORA 1-3

ORA 1-3 OSNOVE RAČUNALNIŠKE ARHITEKTURE II 9 Glavni pomnilnik ORA 2-9 Igor Škraba, FRI Glavni pomnilnik in predpomnilnik Glavni pomnilnik je prostor iz katerega CPE bere ukaze in operande in vanj shranjuje rezultate.

Prikaži več

17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-p

17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-p 17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-prepustna in pasovno-zaporna. Frekvenčna sita gradimo

Prikaži več

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Trditev: idealni enosmerni tokovni vir obratuje z močjo

Prikaži več

Microsoft PowerPoint - ORS-1.ppt

Microsoft PowerPoint - ORS-1.ppt ORGANIZACIJA RAČUNALNIŠKIH SISTEMOV Lastnosti integriranih digitalnih vezij ORS 2013, Igor Škraba, FRI Von Neumannov model računalnika (= matematični model in dejanski računalnik) ne določa tehnologije,

Prikaži več

Microsoft Word - Dokument1

Microsoft Word - Dokument1 Specifikacije Leto modela LETO MODELA 2019 Dimenzije in teža ŠIRINA STOJALA Pribl. 72,8 cm VELIKOST ZASLONA (PALCI, PO DIAGONALI) 50 palcev (49,5 palca) VELIKOST ZASLONA (CENTIMETRI, PO DIAGONALI) 125,7

Prikaži več

seminarska_naloga_za_ev

seminarska_naloga_za_ev Univerza v Ljubljani Fakulteta za elektrotehniko Matevž Seliger 8-kanalni Lightshow Seminarska naloga pri predmetu: V Horjulu, junij 2008 Kazalo: 1 Uvod... 3 1.1 Namen in uporaba izdelka... 3 2 Delovanje...

Prikaži več

Navodila za programsko opremo FeriX Namestitev na trdi disk Avtor navodil: Martin Terbuc Datum: December 2007 Center odprte kode Slovenije Spletna str

Navodila za programsko opremo FeriX Namestitev na trdi disk Avtor navodil: Martin Terbuc Datum: December 2007 Center odprte kode Slovenije Spletna str Navodila za programsko opremo FeriX Namestitev na trdi disk Avtor navodil: Martin Terbuc Datum: December 2007 Center odprte kode Slovenije Spletna stran: http://www.coks.si/ Elektronski naslov: podpora@coks.si

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : Državni izpitni center *M15245112* JESENSKI IZPITNI ROK Izpitna pola 2 / 90 minut Dovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali kemični svinčnik in računalo.

Prikaži več

II-RIS-Primer Seminarske Naloge Redni-LJ

II-RIS-Primer Seminarske Naloge Redni-LJ UNIVERZA V LJUBLJANI FAKULTETA ZA UPRAVO Študijski program: Visokošolski strokovni program Uprava Prva stopnja (bolonjski) Način študija: redni ČIŠČENJE VOZIL V AVTOPRALNICI Seminarska naloga Predmet:

Prikaži več

NAVODILO ZA UPORABO SISTEMA BIOMETRICS Laboratorijski sistem zajema podatkov LS 900 Kratka navodila za rokovanje z instrumentom. Pred uporabo dobro pr

NAVODILO ZA UPORABO SISTEMA BIOMETRICS Laboratorijski sistem zajema podatkov LS 900 Kratka navodila za rokovanje z instrumentom. Pred uporabo dobro pr NAVODILO ZA UPORABO SISTEMA BIOMETRICS Laboratorijski sistem zajema podatkov LS 900 Kratka navodila za rokovanje z instrumentom. Pred uporabo dobro preberi tudi originalna navodila, posebej za uporabo

Prikaži več

DES11_vmesniki

DES11_vmesniki Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki in sekvenčna vezja Zaporedna in vzporedna vodila 1 Vmesniki in vodila

Prikaži več

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo Turingov stroj in programiranje Barbara Strniša 12. 4. 2010 1 Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolov (običajno Σ 2) Σ n = {s 1 s 2... s n ; s i Σ, i =

Prikaži več

(Microsoft Word - 3. Pogre\232ki in negotovost-c.doc)

(Microsoft Word - 3. Pogre\232ki in negotovost-c.doc) 3.4 Merilna negotovost Merilna negotovost je parameter, ki pripada merilnem rezltat. Označje razpršenost vrednosti, ki jih je mogoče z določeno verjetnostjo pripisati merjeni veličini. Navaja kakovost

Prikaži več

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah O opozorilih OPOZORILO: OPOZORILO označuje možnost poškodb lastnine, telesnih poškodb ali smrti. Dell Vostro 430 List s tehničnimi informacijami o nastavitvi in funkcijah Pogled s sprednje in zadnje strani

Prikaži več

PowerPoint Presentation

PowerPoint Presentation Lasersko obarvanje kovin Motivacija: Z laserskim obsevanjem je možno spremeniti tudi barvo kovinskih površin, kar odpira povsem nove možnosti označevanja in dekoracije najrazličnejših sestavnih delov in

Prikaži več

Vacon 100 FLOW Application Manual

Vacon 100 FLOW Application Manual vacon 100 flow FREKVENČNI PRETVORNIKI NAVODILA ZA NASTAVITEV PARAMETROV PREDGOVOR VACON 3 PREDGOVOR ID dokumenta: DPD01560E Datum: 18.3.2016 Različica programske opreme: FW0159V013 O TEM PRIROČNIKU Avtorske

Prikaži več

Microsoft Word - UP_Lekcija04_2014.docx

Microsoft Word - UP_Lekcija04_2014.docx 4. Zanka while Zanke pri programiranju uporabljamo, kadar moramo stavek ali skupino stavkov izvršiti večkrat zaporedoma. Namesto, da iste (ali podobne) stavke pišemo n-krat, jih napišemo samo enkrat in

Prikaži več

Base NET.cdr

Base NET.cdr Rešitev fiksnega radijskega odčitavanja Delovanje BaseNet je način odčitavanja porabe vode, toplote, elektrike, plina in delilnikov toplote v fiksnem radijskem omrežju. Merilnike v Sensus Base sistemu

Prikaži več

DKMPT

DKMPT Tračnice, na katere so moduli fizično nameščeni. Napajalniki (PS), ki zagotavljajo ustrezno enosmerno napajalno napetost za module. Centralne procesne enote (CPU Central Processing Unit). Signalni moduli

Prikaži več

Šolski center celje

Šolski center celje ŠOLSKI CENTER CELJE Gimnazija Lava DVORIŠČNA VRATA NA DALJINSKO UPRAVLJANJE MENTOR: Matjaž Cizej, univ. dipl. inž. AVTOR: Roman Leban, L-4.F Celje, marec 2010 KAZALO VSEBINE 1 POVZETEK /SUMMARY... 1 2

Prikaži več

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 10 24 54 www.conrad.si NAVODILA ZA UPORABO Impulzni števec Hengstler Tico 731, litijeva baterija, 8-mestni CR0731101 Kataloška št.: 10 24 54 Kazalo 1. Navodila

Prikaži več

1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatk

1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatk 1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatkov in njihov prenos med spletnimi mesti. Obrazec v

Prikaži več

Microsoft PowerPoint - IPPU-V2.ppt

Microsoft PowerPoint - IPPU-V2.ppt Informatizacija poslovnih procesov v upravi VAJA 2 Procesni pogled Diagram aktivnosti IPPU vaja 2; stran: 1 Fakulteta za upravo, 2006/07 Procesni pogled Je osnova za razvoj programov Prikazuje algoritme

Prikaži več

VHF1-VHF2

VHF1-VHF2 VHF BREZŽIČNI MIKROFONSKI KOMPLET VHF1: 1 CHANNEL VHF2: 2 CHANNELS NAVODILA ZA UPORABO SLO Hvala, ker ste izbrali naš BREZŽIČNI MIKROFONSKI KOMPLET IBIZA SOUND. Za vašo lastno varnost, preberite ta navodila

Prikaži več

Navodila Trgovina iCenter

Navodila Trgovina iCenter Napredovanja v plačne razrede javnih uslužbencev 2019 S pomočjo SAOP programa Kadrovska evidenca lahko ob dokupljeni kodi vodimo napredovanja javnih uslužbencev. Za napredovanja v letu 2019 je potrebno

Prikaži več

Microsoft PowerPoint - NDES_8_USB_LIN.ppt

Microsoft PowerPoint - NDES_8_USB_LIN.ppt Laboratorij za na rtovanje integriranih vezij Fakulteta za elektrotehniko Univerza v Ljubljani ndrej Trost artovanje digitalnih el. sistemov Komunikacijski vmesniki UB in LI http://lniv.fe.uni-lj.si/ndes.html

Prikaži več

Matematika 2

Matematika 2 Matematika 2 Gregor Dolinar Fakulteta za elektrotehniko Univerza v Ljubljani 23. april 2014 Soda in liha Fourierjeva vrsta Opomba Pri razvoju sode periodične funkcije f v Fourierjevo vrsto v razvoju nastopajo

Prikaži več

7. VF ojačevalnik z MOS tranzistorjem Polprevodniki, predvsem različne vrste tranzistorjev, so sredi dvajsetega stoletja uspešno nadomestili vakuumske

7. VF ojačevalnik z MOS tranzistorjem Polprevodniki, predvsem različne vrste tranzistorjev, so sredi dvajsetega stoletja uspešno nadomestili vakuumske 7. VF ojačevalnik z MOS tranzistorjem Polprevodniki, predvsem različne vrste tranzistorjev, so sredi dvajsetega stoletja uspešno nadomestili vakuumske elektronske cevi v številnih visokofrekvenčnih vezjih.

Prikaži več

Slide 1

Slide 1 Zaščina ehnika in avomaizacija Diskreni Fourierev ransform Digialna zaščia Razvoj numeričnih meod Upoševanje višjih harmonskih komponen, šuma, frekvence odbiih valov, Za pravilno obdelavo signalov je ključna

Prikaži več

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje priročno programsko okolje tolmač interpreter (ne prevajalnik)

Prikaži več

Navodila za uporabo Mini prenosna HD kamera s snemalnikom

Navodila za uporabo Mini prenosna HD kamera s snemalnikom Navodila za uporabo Mini prenosna HD kamera s snemalnikom www.spyshop.eu Izdelku so priložena navodila v angleščini, ki poleg teksta prikazujejo tudi slikovni prikaz sestave in delovanja izdelka. Lastnosti

Prikaži več

NAVODILA ZA UPORABO K01-WIFI Hvala, ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shr

NAVODILA ZA UPORABO K01-WIFI Hvala, ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shr NAVODILA ZA UPORABO Hvala, ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta in jih shranite za prihodnjo rabo Vsebina 1. Pregled 2. Sistem 3. Prednosti 4. Upravljanje

Prikaži več

Microsoft Word - Dokument1

Microsoft Word - Dokument1 Specifikacije Leto modela LETO MODELA 2019 Dimenzije in teža ŠIRINA STOJALA Pribl. 100,2 cm VELIKOST ZASLONA (PALCI, PO DIAGONALI) 65 palcev (64,5 palca) VELIKOST ZASLONA (CENTIMETRI, PO DIAGONALI) 163,9

Prikaži več

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 19 14 56 www.conrad.si NAVODILA ZA UPORABO Univerzalni širokopasovni predojačevalnik Kemo B073, komplet za sestavljanje Kataloška št.: 19 14 56 Kazalo Slike...

Prikaži več

Diapozitiv 1

Diapozitiv 1 Pogojni stavek Pogojni (if) stavek Tip bool Primerjanje Uranič Srečo If stavek Vsi dosedanji programi so se izvajali zaporedoma, ni bilo nobenih vejitev Program razvejimo na osnovi odločitev pogojnega

Prikaži več

Microsoft Word - Dokument1

Microsoft Word - Dokument1 Specifikacije Leto modela LETO MODELA 2019 Dimenzije in teža ŠIRINA STOJALA Pribl. 71,9 cm VELIKOST ZASLONA (PALCI, PO DIAGONALI) 49 palcev (48,5 palca) VELIKOST ZASLONA (CENTIMETRI, PO DIAGONALI) 123,2

Prikaži več

Mestna občina Celje Komisija Mladi za Celje POLICA ZA HLADILNIK Z VGRAJENO TEHTNICO RAZISKOVALNA NALOGA (Fizika, Elektronika) Avtorja: Martin Malinger

Mestna občina Celje Komisija Mladi za Celje POLICA ZA HLADILNIK Z VGRAJENO TEHTNICO RAZISKOVALNA NALOGA (Fizika, Elektronika) Avtorja: Martin Malinger Mestna občina Celje Komisija Mladi za Celje POLICA ZA HLADILNIK Z VGRAJENO TEHTNICO RAZISKOVALNA NALOGA (Fizika, Elektronika) Avtorja: Martin Malinger (9.a), Luka Segečić (9.a) Mentorica: Nina Miklavžina,

Prikaži več

Microsoft Word - CNS-SW3 Quick Guide_SI

Microsoft Word - CNS-SW3 Quick Guide_SI 1.0 Gumbi in funkcije Canyon SkiMaster Artikel: CNS-SW3 Gumb za spreminjanje načinov [M] Za izbiro med načini Current Time, Daily Alarm, Chronograph, Timer in Dual Time. Za izbiro med načini Ski, Ski Recall,

Prikaži več

POROČILO IZ KONSTRUKCIJSKE GRADBENE FIZIKE PROGRAM WUFI IZDELALI: Jaka Brezočnik, Luka Noč, David Božiček MENTOR: prof. dr. Zvonko Jagličič

POROČILO IZ KONSTRUKCIJSKE GRADBENE FIZIKE PROGRAM WUFI IZDELALI: Jaka Brezočnik, Luka Noč, David Božiček MENTOR: prof. dr. Zvonko Jagličič POROČILO IZ KONSTRUKCIJSKE GRADBENE FIZIKE PROGRAM WUFI IZDELALI: Jaka Brezočnik, Luka Noč, David Božiček MENTOR: prof. dr. Zvonko Jagličič 1.O PROGRAMSKO ORODJE WUFI Program WUFI nam omogoča dinamične

Prikaži več

(Microsoft PowerPoint - vorsic ET 9.2 OES matri\350ne metode 2011.ppt [Compatibility Mode])

(Microsoft PowerPoint - vorsic ET 9.2 OES matri\350ne metode 2011.ppt [Compatibility Mode]) 8.2 OBRATOVANJE ELEKTROENERGETSKEGA SISTEMA o Matrične metode v razreševanju el. omrežij Matrične enačbe električnih vezij Numerične metode za reševanje linearnih in nelinearnih enačb Sistem algebraičnih

Prikaži več

Microsoft Word - Dokument2

Microsoft Word - Dokument2 Specifikacije Leto modela LETO MODELA 2018 Dimenzije in teža VELIKOST ZASLONA (PALCI, PO DIAGONALI) 50 palcev (49,5 palca) VELIKOST ZASLONA (CENTIMETRI, PO DIAGONALI) 125,7 cm DIMENZIJE TELEVIZORJA BREZ

Prikaži več

ŠKODA SUPERB INFORMATIVNI CENIK ZA MODELSKO LETO 2020 Cene Motorne različice Serijska oprema

ŠKODA SUPERB INFORMATIVNI CENIK ZA MODELSKO LETO 2020 Cene Motorne različice Serijska oprema ŠKODA SUPERB INFORMATIVNI CENIK ZA MODELSKO LETO 2020 Cene Motorne različice Serijska oprema Datum izdaje: 26.6.2019 Veljavnost cen od: 26.6.2019 Informativni cenik modelsko leto 2020 Modeli MPC* z bencinskimi

Prikaži več

POLICIJSKO VETERANSKO DRUŠTVO SEVER - SPECIALNA ENOTA 1000 Ljubljana, Podutiška 88, telefon: , Številka: 2-6/2015 D

POLICIJSKO VETERANSKO DRUŠTVO SEVER - SPECIALNA ENOTA 1000 Ljubljana, Podutiška 88, telefon: ,   Številka: 2-6/2015 D POLICIJSKO VETERANSKO DRUŠTVO SEVER - SPECIALNA ENOTA 1000 Ljubljana, Podutiška 88, telefon:01 583 38 00, e-mail: info@pvds-se.si Številka: 2-6/2015 Datum: 02. 03. 2015 PRAVILNIK O PRIZNANJIH DRUŠTVA -

Prikaži več

Microsoft Word - Varnostna navodila - ucilo2.doc

Microsoft Word - Varnostna navodila - ucilo2.doc www.ps-log.si PS, d.o.o., Logatec Kalce 38b 1370 Logatec Asinhronski motor s frekvennim regulatorjem SKLOP: Elektromotorni pogoni, regulatorji, merilniki Navodila za varno delo Logatec, november 2007 KAZALO

Prikaži več

Slikovne transformacije_2017_18_DKT

Slikovne transformacije_2017_18_DKT DEJAVNIKI KAKOVOSTI V TISKU Deja Muck Pri obdelavi digitalnih slik se večinoma srečujamo s slikami v prostorski domeni, a določeni postopki, npr. filtriranje, lahko potekajo tudi v t. i. frekvenčni domeni.

Prikaži več

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 77 93 47 www.conrad.si NAVODILA ZA UPORABO Tester zavorne tekočine SecoRüt RSDOT Kataloška št.: 77 93 47 Ta navodila za uporabo sodijo k temu izdelku. Vsebujejo

Prikaži več

Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefo

Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefo Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefonih. Obstaja precej različic, sam pa sem sestavil meni

Prikaži več

Microsoft PowerPoint - Sirikt-SK-FV.ppt

Microsoft PowerPoint - Sirikt-SK-FV.ppt E-učbeniki za izbrane naravoslovno-tehniške predmete E-books for selected science and technical subjects Slavko KOCIJANČIČ Univerza v Ljubljani, Pedagoška fakulteta slavko.kocijancic@pef.uni-lj.si Franc

Prikaži več

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila Programsko orodje LabVIEW za kreiranje, zajem in obdelavo signalov (statične in dinamične karakteristike hidravličnih proporcionalnih ventilov) Marko Šimic Telefon: +386 1 4771 727 e-mail: marko.simic@fs.uni-lj.si

Prikaži več

Microsoft PowerPoint - ads

Microsoft PowerPoint - ads Novosti pri analogni video-nadzorni opremi Junij 2012 1. Dnevno/nočna kamera ADS-CAM-K2DNC 2. Snemalniki ADS-LIGHT: ADS-0404DH ADS-0804DH ADS-1604DH ADS-0404HED ADS-CAM-K2DNC Dnevno / nočna kamera z IR

Prikaži več

Microsoft Word - M doc

Microsoft Word - M doc Državni izpitni center *M11145113* INFORMATIKA SPOMLADANSKI IZPITNI ROK NAVODILA ZA OCENJEVANJE Petek, 10. junij 2011 SPLOŠNA MATURA RIC 2011 2 M111-451-1-3 IZPITNA POLA 1 1. b 2. a 3. Pojem se povezuje

Prikaži več

Kazalo 1 DVOMESTNE RELACIJE Operacije z dvomestnimi relacijami Predstavitev relacij

Kazalo 1 DVOMESTNE RELACIJE Operacije z dvomestnimi relacijami Predstavitev relacij Kazalo 1 DVOMESTNE RELACIJE 1 1.1 Operacije z dvomestnimi relacijami...................... 2 1.2 Predstavitev relacij............................... 3 1.3 Lastnosti relacij na dani množici (R X X)................

Prikaži več

NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: Faks.: in

NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: Faks.: in NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: +386 1 729 6 460 Faks.: +386 1 729 6 466 www.nevtrin.si info@elektrina.si USB RFID READER Navodila za uporabo?

Prikaži več