Mikroračunalniški sistemi Laboratorijske vaje Študijsko leto 2008/2009 A. M

Velikost: px
Začni prikazovanje s strani:

Download "Mikroračunalniški sistemi Laboratorijske vaje Študijsko leto 2008/2009 A. M"

Transkripcija

1 Mikroračunalniški sistemi Laboratorijske vaje Študijsko leto 2008/2009 A. M

2 Vaja1: Uporaba GPIO linij Izmenično prižiganje štirih svetlečih diod - delo s splošno namenskimi vhodno-izhodnimi linijami V programskem jeziku C napišite program, ki bo na razvojni plošči ITLPC2138 izmenično prižigal štiri svetleče diode, kot je to izvedeno na avtomobilu glavnega junaka v nadaljevanki Night rider. Potrebne datoteke: crt0.s (zagonska datoteka) LPC2138.h (datoteka z naslovi vseh registrov mikrokrmilnika LPC2138) Program: #define REG32 (volatile unsigned int*) //zamenjamo kodo v oklepaju za kodo pred njim #define IO0PIN (*(REG32(0xE ))) #define IO0SET (*(REG32(0xE ))) #define IO0DIR (*(REG32(0xE ))) #define IO0CLR (*(REG32(0xE002800C))) void pl(int led); //deklaracija funkcije pl void cakaj(); //deklaracija funkcije cakaj int main(void) //(*((volatile unsigned int*)(0xe )))=0xf00; IO0DIR=0xF00; // nastavimo IO0DIR na mestu diod na 1 (izhod), IO0CLR=0xF00; // IO0CLR postavimo diode na 0 (ugasnemo jih ce so prižgane) int stevec=0; int smer=1; while(1) if(smer==1) stevec++; else stevec--; if(stevec==3) smer=-1; if(stevec==0) smer=1; pl(stevec); //kliče funkcijo pl void pl(int led) IO0CLR=0xF00; IO0SET=0x1<<(8+led); //prižigamo led diodice cakaj(1000); void cakaj(int ms) int i,j; for(i=0;i<ms;i++) for(j=0;j<1000;j++); //zaposlimo računalnik 2

3 Vaja1.1: Uporaba GPIO linij Dodatek k programu vaje1.1: int beritipko() int pinreg, tipka; pinreg=io0pin; pinreg=pinreg>>12; pinreg=~pinreg; pinreg=pinreg&0xf ; se ohranijo //pomaknemo bite za 12 mest, tako da bojo tipke na zacetku // negiramo // naredimo operacijo AND, vse bite,ki niso tipke postavimo na 0, biti tipk if(pinreg==0x1) tipka=0; if(pinreg==0x2) tipka=1; if(pinreg==0x4) tipka=2; if(pinreg==0x8) tipka=3; return tipka; GPIO LINIJE GPIO (General Purpose Input Output) linije so splošno namenske vhodno ihodne linije. IO0PIN Z njih lahko beremo tre-nutno stanje na linijah neglede na to ali gre za vhodno ali izhodno linijo. Vendarto velja samo za primer kadar so linije konfigurirane kot splošno namenske (ang. General Purpose Input Output - GPIO). Če linije postavimo v katerikoli drug način delovanja, vrednosti v teh registrih niso veljavne. (naslov IOPIN0-0xE in IOPIN1-0xE ) IO0SET Registra se uporabljata za doloˇcanje stanja izhodnih linij. V primeru ko je linija konfigurirana kot GPIO izhod, bo pisanje logične 1 v register postavilo ustrezno linijo na visok nivo. Pisanje logične 0 nima nikakršnega vpliva na dogajanje na izhodu. Kadar je linija konfigurirana kot GPIO vhod ali ima dodeljeno kakšno drugo funkcijo, je pisanje v IOSET register brez pomena. (naslov: IOSET0-0xE in IOSET1-0xE ) IO0DIR Registra se uporabljata za določitev smeri vhodno-izhodne linije, kadar je ta konfigurirana kot GPIO. Logična 0 pomeni, da je linija konfigurirana kot vhod; logična 1 pa nastavi linijo kot izhod. Napetostni nivo na liniji vrat določamo s pisanjem v registra IOSET in IOCLR. Stanje na vratih je rezultat zadnjega vpisa. (naslov IODIR0-0xE in IODIR1-0xE ) IO0CLR Kadar so linije na vratih nastavljene kot GPIO izhodi, jih je mogoče v nizko logično stanje postaviti s pisanjem v ta dva registra. Z vpisom logične 1 v IOCLR register resetiramo pripadajoči bit IOSET registru in postavimo izhod v nizko stanje. Če je linija konfigurirana kot GPIO vhod ali ima dodeljeno kakšno drugo funkcijo je pisanje v IOCLR register brez pomena. (naslov: IOCLR0-0xE C in IOCLR1-0xE002801C) 3

4 Vaja2: Uporaba zbirnika Napišite del programa v zbirniku, ki bo v dološenih šasovnih intervalih prižigal in ugašal svetlečo diodo. Interval zakasnitve naj bo dolg približno eno sekundo. LED diodo, ki je priključena na splošne vhodnoizhodne linije na razvojni plošči, izberite sami. Potrebne datoteke: crt0.s (zagonska datoteka) LPC2138.h (datoteka z naslovi vseh registrov mikrokrmilnika LPC2138) LCD.h (datoteka z ukazi za upravljanje LCD prikazovalnika) LCD.c (datoteka s funkcijami za delo z LCD prikazovalnikom) Program napisan v zbirnem jeziku, ki na portu P0.12 priziga in ugasa LEDico PINSEL0 = 0xE002C000 IO0DIR = 0xE IO0SET = 0xE IO0CLR = 0xE002800C IO0PIN = 0xE text.align 2.global _start _start: bal ResetHandler //;for debug bal. //;handlerundef bal. //;SWI interrupt handler bal. //;handlerprefetchabort bal. //;handlerreserved bal. //;handlerdataabort bal. //;handlerreserved bal. //;handlerirq bal. //; Remake to save FIQ access time... ResetHandler: ldr r0, =PINSEL0 ldr r1, =0x0 // PINSELO str r1,[r0] //na naslov PINSEL0 shrani vrednost 0x0 ldr r0, =IO0DIR ldr r1, =0xF00 // nastavimo IO0DIR na mestu diod na 1 (izhod) str r1,[r0] ldr r3, =0x100 loop: ldr r0, =IO0CLR ldr r1, =0xF00 // IO0CLR postavimo diode na 0 (ugasnemo jih ce so prižgane) str r1,[r0] ldr r2, = zak2: nop // ne naredi nič 4

5 SUBS R2,R2, # 1 // R2 = R2-1 BNE zak2 // preveri če je rezultat nič, če ni se vrne na "zak2" ldr r0, =IO0SET // prižigamo LEDice str r3,[r0] add r3,r3,r3 subs r4,r3,#0x1000 bne skok ldr r3,=0x100 skok: ldr r2, = zak1: nop // ne naredi nic SUBS R2,R2, # 1 // odšteje od R2 vrednost 1, preveri če je rezultat 0 BNE zak1 //če rezultat ni 0, gre na zak1 bal loop.align 2.bss Nekaj ukazov: LDR: naloži vrednost v register STR shrani vrednost v register BNE skoči, če ni enako (ang. branch if not equal). BEQ Enako Rezultat primerjave je enako ali nič 5

6 Vaja3: Uporaba LCD-ja Napišite funkciji, ki bosta služili za pogon LCD prikazovalnika. Prva funkcija naj vrši pošiljanje ukazov, druga pa naj omogoča izpis teksta na prikazovalnik. Potrebne datoteke: crt0.s (zagonska datoteka) LPC2138.h (datoteka z naslovi vseh registrov mikrokrmilnika LPC2138) LCD.h (datoteka z ukazi za upravljanje LCD prikazovalnika) LCD.c (datoteka s funkcijami za delo z LCD prikazovalnikom) ADC.c (datoteka s funkcijami za delo z A/D pretvornikom) VAJA3.c #include "LPC2138.h" #include "LCD.h" void main(void) LCD_init(); //inicializacija LCDja LCD_cmd(Cls); //klic funkcije LCD_cmd waitms(2); //zakasnitev 2ms LCD_cmd(CoursorOff); //ugasnemo kurzor na LCDju waitms(2); LCD_locate(1,1); LCD_chr("vaja 3"); //vaja 3 zapšemo na LCD v prvo vrstico in prvi stolpec LCD_locate(2,6); LCD_var(2306); waitms(100); LCD_var(0); int smer = 1; int i; while(1) int i; for(i=0; i<10; i++) if(smer == 1) waitms(200); LCD_cmd(ShiftR); 6

7 waitms(200); if(smer == -1) waitms(200); LCD_cmd(ShiftL); waitms(200); smer = smer * (-1); waitms(2); //LCD_chr("ALEŠ"); //LCD_cifra(12); LCD.c #include "LPC2138.h" #include "LCD.h" void LCD_init(void) PINSEL0 = 0x0; IO0DIR = (1<<D4) (1<<D5) (1<<D6) (1<<D7) (1<<RS) (1<<E) (1<<RW); // define LCD-Pins as outputs LCD_port(0); waitms(40); reset(rs); set(d4); // init! set(d5); waitms(6); // init! waitms(2); // init! waitms(5); reset(d4); // set 4 bit mode LCD_cmd(0x328); // 4 bit mode, 1/16 duty, 5x8 font, 2lines LCD_cmd(0x0f); // display on LCD_cmd(0x06); // entry mode advance cursor LCD_cmd(0x01); // clear display and reset cursor waitms(1); void LCD_cmd(int cmd) int pv; 7

8 if(cmd>0xff) cmd=cmd&0xff; reset(rs); pv = cmd>>4; LCD_port(pv); pv = cmd & 0xF; LCD_port(pv); waitms(2); void LCD_var(int var) int i, a, nic; nic = 0; for(i=9; i>=0; i--) a = 0; while(var >= desetx(i)) var = var - desetx(i); a++; if(a!= 0) nic = 1; if(a!= 0 nic ) LCD_cifra(a); if(i == 0 && nic == 0 && a==0) LCD_cifra(0); /*int pv; if(var>0xff) var = var & 0xFF; set(rs); pv = var>>4; LCD_port(pv); pv = var & 0xF; LCD_port(pv); waitms(2); */ 8

9 int desetx(int x) // vrne potenco števila (oziroma 10 na to potenco) int i = 0; int rez = 1; while(i < x) rez = rez*10; i++; return rez; void LCD_cifra(int var) if (var<0) var=0; if (var>9) var=9; set(rs); LCD_port(0x3); // pošljemo prve 4 bite (ki so vedno 0x3, ker so cifre med 0x30 in 0x39) LCD_port(var); // pošljemo zadne 4 bite (ki so kar cifra ki jo vpišemo) void LCD_chr(char data[]) int i; int pv; set(rs); for(i=0; data[i]!=0; i++) pv = data[i]>>4; LCD_port(pv); pv = data[i] & 0xF; LCD_port(pv); waitms(2); void LCD_locate(int row, int column) if (row == 1) LCD_cmd(0x80 (column-1)&0x0f); if (row == 2) LCD_cmd(0xc0 (column-1)&0x0f); void LCD_port(int port_value) if(port_value>0xf) //port value je res 4 bitno port_value = 0xF & port_value; IO0SET = port_value << 16; IO0CLR = (~port_value & 0xF) << 16 ; 9

10 void E_pulse(void) set(e); waitms(2); reset(e); waitms(2); void waitms(int ms) volatile int i,j; for (i=0;i<ms;i++) for (j=0;j<200;j++); void set(int pin) IO0SET =0x1 << pin; void reset(int pin) IO0CLR =0x1 << pin; LCD.h //LCD control lines #define D4 16 #define D5 17 #define D6 18 #define D7 19 #define RS 20 #define E 21 #define RW 22//LCD commands #define Cls 0x01 #define CoursorOn 0x0e #define CoursorOff 0x0c #define CoursorBlinkOn 0x0f #define ShiftL 0x18 #define ShiftR 0x1c void LCD_init(void); void LCD_cmd(int cmd); void LCD_var(int var); int desetx(int x); void LCD_cifra(int var); void LCD_chr(char data[]); void LCD_locate(int row, int column); void LCD_port(int port_value); void E_pulse(void); void waitms(int ms); void set(int pin); void reset(int pin); 10

11 Vaja 4: IRQ prekinitve Z uporabo A/D pretvornika vgrajenega v mikrokrmilnik izdelajte voltmeter, ki bo meril napetost na potenciometru razvojne plošče. Rezultat merjenja prikažite na LCD prikazovalnik. Potrebne datoteke: crt0.s (zagonska datoteka) LPC2138.h (datoteka z naslovi vseh registrov mikrokrmilnika LPC2138) LCD.h (datoteka z ukazi za upravljanje LCD prikazovalnika) LCD.c (datoteka s funkcijami za delo z LCD prikazovalnikom) ADC.c (datoteka s funkcijami za delo z A/D pretvornikom) #include "LPC2138.h" #include "LCD.h" #include "ADC.h" #define reference 3264 int i; void prekinitvenafunkcija(void) attribute ((interrupt("irq"))); // deklaracija nato pa povemo da se bo uporabljala kot prekinitev void prekinitvenafunkcija(void) LCD_cmd(Cls); waitms(1000); LCD_chr("V PREKINITVI"); waitms(500); LCD_cmd(Cls); waitms(1000); for(i=0;i<6;i++) IO0SET = 0xF00; waitms(2000); IO0CLR = 0xF00; waitms(5); LCD_locate(1,1); LCD_chr("Korak"); LCD_locate(2,10); LCD_var(i); LCD_cmd(Cls); waitms(1000); EXTINT = 0x4; VICVectAddr = 0x0; // s tem ukazom resetiramo prekinitev 11

12 void main(void) //MAMCR = 0x02; // MAM functions fully enabled //MAMTIM = 0x03; // MAM fetch cycles are 3 CCLKs in duration // PLL_init(); // boost crystal's 12 MHz up to 60 MHz int vol; LCD_init(); // Inicializacija LCD-ja ADC_init(); //inicializacija A/D pretvornika PINSEL0 = 0x0; PINSEL0 =(1<<31); IO0DIR = 0xF00; IO0CLR = 0xF00; VICIntSelect = 0x0; EXTMODE = 0x0; VICVectCntl0 = 0x30; VICVectAddr0 = (unsigned long)prekinitvenafunkcija; VICIntEnable = (1<<16); LCD_cmd(CursorOff); LCD_locate(1,1); LCD_chr("vaja 4"); LCD_locate(2,1); LCD_chr("A/D pretvornik"); waitms(2000); LCD_cmd(Cls); LCD_chr("V"); //LCD_chr(ADC_read ()); while(1) LCD_locate(1,1); LCD_chr("Napetost"); LCD_locate(2,11); vol = ADC_read()*3196/1023; voltage2lcd(vol) ; LCD.c #include "LPC2138.h" #include "LCD.h" void LCD_init(void) 12

13 IO0DIR = (1<<D4) (1<<D5) (1<<D6) (1<<D7) (1<<RS) (1<<E) (1<<RW); // define LCD-Pins as outputs LCD_port(0); waitms(40); reset(rs); set(d4); // init! set(d5); waitms(6); // init! waitms(2); // init! waitms(5); reset(d4); // set 4 bit mode LCD_cmd(0x28); // 4 bit mode, 1/16 duty, 5x8 font, 2lines LCD_cmd(0x0f); // display on LCD_cmd(0x06); // entry mode advance cursor LCD_cmd(0x01); // clear display and reset cursor waitms(1); void LCD_cmd(int cmd) int pv; reset(rs); // LCD command mode pv = cmd>>4; LCD_port(pv); // high bits pv = cmd&0x0f; LCD_port(pv); // low bits waitms(1); void LCD_var(int var) int a, i,set; set = 0; for(i = 9; i >= 0; i--) a = 0; while(var >= desetx(i)) var -= desetx(i); a++; if(a!= 0) set = 1; if(a!= 0 set) 13

14 LCD_vars(a); if(a == 0 && i == 0 && set == 0) LCD_vars(a); waitms(5); int desetx(int x) int i = 0; int rez = 1; while(i < x) rez *= 10; i++; return rez; void LCD_vars(int var) set(rs); // LCD data mode LCD_port((0x30 var)>>4); // high bits ASCII mask LCD_port((0x30 var)&0x0f); // low bits ASCII mask void LCD_chr(char data[]) int i; int pv; int ch; set(rs); // LCD data mode for(i = 0; data[i]!= 0; i++) ch=data[i]; pv=ch>>4; LCD_port(pv); // high bits pv=ch&0x0f; LCD_port(pv); // low bits waitms(5); void LCD_locate(int row, int column) reset(rs); if(row == 1) 14

15 LCD_cmd(0x80 (column - 1)&0x0f); else LCD_cmd(0xc0 (column - 1)&0x0f); waitms(5); void LCD_port(int port_value) if(port_value > 0x0f ) //LCD port is only 4-bit long port_value = port_value&0x0f; //we don t want to mess other bits IO0SET = port_value << 16; IO0CLR = (~port_value&0x0f) << 16; void E_pulse(void) set(e); int a; for(a=0;a<200;a++); //delay app. 50 us reset(e); for(a=0;a<200;a++); //delay app. 50 us void waitms(int ms) volatile int i,j; for (i=0;i<ms;i++) for (j=0;j<170;j++); void set(int pin) IO0SET = (1<<pin); void reset(int pin) IO0CLR = (1<<pin); ADC.c #include "LPC2138.h" void ADC_init() PINSEL1 = 0x01<<22; // P > pina 23:22 --> 0:1 --> konfiguracija A/D pretvornika int ADC_read (void) /* vrača vrednosti od (10 bitni rezultat) Get ADC Value and set PWM */ int val, adcr; 15

16 adcr = (1<<24) (1<<21) ; //postavimo 24 bit na 1 (START) in 21 bit na 1(PDN pomeni pretvorba) AD0CR =adcr; // je enako kot --> AD0CR=AD0CR adcr ; PCONP &=~(1<<12); // pišemo ničlo na dvanajsti bit do val = AD0DR; while( (val &(1<<31))==0 ); // preverimo če je bit 31 na 1, kar pomeni pretvorba končana val = (val >> 6) & 0x3FF; PCONP = (1 << 12); // izklop napajanja A/D pretvornika AD0CR &=~adcr; // ustavimo return val; Ukaza: &=~(...) pišemo ničlo na določeno mesto =(...) pišemo enico na določeno mesto Vaja 5: Uporaba A/D pretvornika Napišite program, ki bo upravljal z UART serijskim vmesnikom mikrokrmilnika. Z njim pošljite izbran niz znakov na terminal osebnega računalnika. Potrebne datoteke: crt1.s (zagonska datoteka) LPC2138.h (datoteka z naslovi vseh registrov mikrokrmilnika LPC2138) VAJA5.c #include "LPC2138.h" #include "LCD.h" #define reference 3264 void voltage2lcd(a); void voltage2uart(a); #define TEMT (1<<6) #define LINE_FEED 0xA #define CARRIAGE_RET 0xD int vol; void main(void) //MAMCR = 0x02; //MAMTIM = 0x03; // PLL_init(); LCD_init(); ADC_init(); // MAM functions fully enabled // MAM fetch cycles are 3 CCLKs in duration // boost crystal's 12 MHz up to 60 MHz // Inicializacija LCD-ja // Inicializacija ADC-ja UART1_init(); 16

17 LCD_cmd(Cls); LCD_locate(1,1); LCD_chr("UPORABA"); LCD_locate(2,1); LCD_chr("UART komunikacije"); waitms(1000); LCD_cmd(Cls); // Glavni meni LCD_locate(1,1); LCD_chr("Napetost: P0.27"); LCD_locate(2,11); LCD_chr("V"); while(1) // Glavna zanka programa // Vsakih 100ms beremo ADC vol = (reference*adc_read())/1023; // in vrednost prikažemo na LCD voltage2lcd(vol); voltage2uart(vol); //UART_read(); //branje porta LCD_locate(2,1); LCD_znak( UART_read() ); waitms(1000); void voltage2lcd(a) // Pretvorba napetosti za prikaz na LCD-ju LCD_locate(2,5); int edst,i; //enice, desetice, stotice, tisocice for(i = 3; i >= 0; i--) edst = 0; while(a > desetx(i)) a -= desetx(i); edst++; if(i == 2) LCD_chr("."); // postavimo decimalno piko if(edst == 0) LCD_chr("0"); else LCD_var(edst); 17

18 void voltage2uart(a) // Pretvorba napetosti za prikaz na LCD-ju int edst,i; //enice, desetice, stotice, tisocice for(i = 3; i >= 0; i--) edst = 0; while(a > desetx(i)) a -= desetx(i); edst++; if(i == 2) UART_send('.'); // postavimo decimalno piko if(edst == 0) UART_send('0'); else UART_send(edst+48); UART_send(LINE_FEED); UART.c #include "LPC2138.h" void UART1_init() PINSEL0 = 0x50000; U1FCR = 0x7; U1LCR = 0x83; U1DLL = 0x14; U1DLM = 0x0; // resetiramo fifo register //določimo parametre komunikacije // določimo hitrost komunikacije // določimo hitrost komunikacije U1LCR = 0x3; //določimo da lahko dostopamo do registrov /* Funkcija, ki piˇse na serijska vrata. */ int UART_send(int ch) while((u1lsr >> 6) & 0x1 == 0x0); return (U1THR = ch); //register za pošiljanje /* Funkcija, ki bere iz serijskih vrat. */ int UART_read(void) while((u1lsr & 0x1) == 0x0) ; //če se pojavi nov podatek gremo v zanko 18

19 return (U1RBR) ; Vaja 6: Uporaba PWM izhoda krmiljenje enosmernega motorja Napišite program, ki bo preko PWM modula krmilil enosmernimotor. V uporabniškem vmesniku naj bo s tipkami mogoče nastaviti frekvenco vrtenja motorja. Potrebne datoteke: crt1.s (zagonska datoteka) LPC2138.h (datoteka z naslovi vseh registrov mikrokrmilnika LPC2138) LCD.h (datoteka z ukazi za upravljanje LCD prikazovalnika) KEY.h (datoteka z definicijami tipk) MotorBoard.h (datoteka z definicijami linij prikljuˇcenih na MotorPCB) LCD.c (datoteka s funkcijami za delo z LCD prikazovalnikom) KEY.c (datoteka s funkcijami za delo s tipkami na razvojni plošči ITLPC2138) PWM.c (datoteka s funkcijami za delo s PWM modulom) VAJA_PWM.c #include "LPC2138.h" #include "LCD.h" #include "MotorBoard.h" #define DIR 1 void LCD_ShowDuty(void); void PWM_out(int val); int duty = 0; int main(void) //MAMCR = 0x02; //MAMTIM = 0x03; // PLL_init(); // MAM functions fully enabled // MAM fetch cycles are 3 CCLKs in duration // boost crystal's 12 MHz up to 60 MHz //HCTL2022 init IO0DIR = (1<<DIR) (1<<OE) (1<<RST) (1<<SEL1) (1<<SEL2) ; IO0SET = (1<<OE); IO0CLR = (1<<DIR); LCD_init(); // inicializacija LCDja PWM_init(); //inicializacija PWM ADC_init(); // inicializacija ADC LCD_cmd(Cls); // uvodni pozdrav na LCDju 19

20 LCD_cmd(CursorOff); LCD_chr("Vaja 7 Uporaba "); LCD_locate(2,1); LCD_chr(" PWM izhoda "); waitms(2000); LCD_cmd(Cls); LCD_chr("PWM duty cycle "); LCD_locate(2,1); LCD_chr(" % + "); LCD_ShowDuty(); while(1) duty = (100*ADC_read())/1023; if (duty > 100) duty = 100; if (duty < 0) duty = 0; LCD_ShowDuty(); PWM_out(duty); //prikaže vrednost duty void LCD_ShowDuty() LCD_locate(2,7); if(duty < 100) LCD_chr(" "); if(duty < 10) LCD_chr(" "); LCD_var(duty); void PWM_out(int val) if (val < 0) val = 0; if (val > 100) val = 100; val = val*15; PWMMR1 = val; PWMLER = 0x2; PWM.c #include "LPC2138.h" #include "PWM.h" 20

21 void PWM_init (void) // VICVectAddr8 = (unsigned)pwm0_isr; /* Set the PWM ISR vector address */ // VICVectCntl8 = 0x ; /* Set channel */ // VICIntEnable = 0x ; /* Enable the interrupt */ // VPBDIV = 0x01; PINSEL0 = 0x2; PCONP = (1<<5); //PWM vklop napajanja PWMPR =0x1; //delilno razmerje glavne ure in PWM ure. če damo na 1 bosta tekli enako. PWMPCR =0x200; //PWM1 output enabled PWMMCR = 0x3; PWMMR0 = 1500; PWMMR1 = 0; // dolžina cikla/ periode // določa dolžino pulza, od 0 do PWMMR0 PWMLER = 0x3; PWMTCR = 0x02; PWMTCR = 0x09; Vaja 7: Uporaba PWM izhoda - regulacija enosmernega motorja Dopolnite program iz prejšnjega primera tako da bo izvajal zaprtozančno regulacijo hitrosti vrtenja enosmernega motorja. Potrebne datoteke: crt1.s (zagonska datoteka) LPC2138.h (datoteka z naslovi vseh registrov mikrokrmilnika LPC2138) LCD.h (datoteka z ukazi za upravljanje LCD prikazovalnika) MotorBoard.h (datoteka z definicijami linij priključenih na MotorPCB) LCD.c (datoteka s funkcijami za delo z LCD prikazovalnikom) PWM.c (datoteka s funkcijami za delo s PWM modulom) VAJA_PWMreg.c #include "LPC2138.h" #include "LCD.h" #include "MotorBoard.h" #define DIR 1 //smer vrtenja motorja #define K 2 #define DT 100 signed long duty = 0; signed long duty_reg = 0; void PWM_out(signed long val); void HCTL2022_init(void); unsigned long ReadHCTL(void); void ResetHCTL(void); void delay(void); signed long rezultat = 0; signed long referenca = 0; signed long e = 0; 21

22 int main(void) IO0DIR = 1<<DIR; IO0SET = 1<<DIR; IO0CLR = 1<<DIR; PWM_init(); HCTL2022_init(); duty = 25; PWM_out(duty); waitms(1000); //inicializacija PWM linij //inicializacija HCTL //motor deluje s 25% moči //zakasnitev 1s, da motor pride do polnih vrtljejev ResetHCTL(); waitms(dt); referenca = (signed long) (ReadHCTL())&0xffff; while(1) ResetHCTL(); waitms(dt); rezultat = (signed long) (ReadHCTL())&0xffff; e = referenca - rezultat; duty_reg = duty - e/dt*k; PWM_out(duty_reg); unsigned long ReadHCTL(void) unsigned long ReturnData = 0; //enable HCTL2022 IO lines IOCLR0 = (1<<OE); delay(); //set MSB byte IOCLR0 = (1<<SEL1); IOSET0 = (1<<SEL2); //minimal delay of 50ns delay(); //Read MSB byte and shif it up 16 times ReturnData = ((IOPIN0&0xff00)<<16); //set 2nd byte IOSET0 = (1<<SEL1); IOSET0 = (1<<SEL2); //minimal delay of 50ns delay(); //Read 2nd byte shif it up 8 times and add previous result ReturnData += ((IOPIN0&0xff00)<<8); //set 3rd byte IOCLR0 = (1<<SEL1); IOCLR0 = (1<<SEL2); //minimal delay of 50ns delay(); //Read 3nd byte and add previous result 22

23 ReturnData += (IOPIN0&0xff00); //set LSB byte IOSET0 = (1<<SEL1); IOCLR0 = (1<<SEL2); //minimal delay of 50ns delay(); //Read LSB byte shifti down 8 times and add previous result ReturnData += ((IOPIN0&0xff00)>>8); //HCTL2022 lines to high Z state IOSET0 = (1<<OE); return ReturnData; void HCTL2022_init(void) IODIR0 = (1<<OE) (1<<RST) (1<<SEL1) (1<<SEL2); //set selected pins as outputs IODIR0 &=~(1<<UD); IODIR0 &=~0xff00; ResetHCTL(); //HCTL2022 reset void ResetHCTL(void) //enable HCTL2022 IO lines IOCLR0 = (1<<OE); delay(); IOCLR0 = (1<<RST); delay(); delay(); IOSET0 = (1<<RST); // HCTL2022 lines to high Z state IOSET0 = (1<<OE); void PWM_out(signed long val) val *= 10; if(val < 0) val = 0; if(val > 1000) val = 1000; PWMMR1 = val; PWMLER = 0x2; // short delay void delay(void) //waitms(1); // set PWM duty cycle // enable shadow latch for match1 23

24 int j = 5; while(j--); 24

Microsoft Word - EV-2-projekt.doc

Microsoft Word - EV-2-projekt.doc UNIVERZA V LJUBLJANI FAKULTETA ZA ELEKTROTEHNIKO Matjaž Repovž MERILNIK TLAKA Seminarska nalogapri predmetu Elektronska vezja Ljubljana, 2009 Ključne besede: Merilnik Tlaka LPC2136 USB LabView RS232 FT232RL

Prikaži več

ARS1

ARS1 Nepredznačena in predznačena cela števila Dvojiški zapis Nepredznačeno Predznačeno 0000 0 0 0001 1 1 0010 2 2 0011 3 3 Pri odštevanju je stanje C obratno (posebnost ARM)! - če ne prekoračimo 0 => C=1 -

Prikaži več

Slide 1

Slide 1 Tehnike programiranja PREDAVANJE 10 Uvod v binarni svet in računalništvo (nadaljevanje) Logične operacije Ponovitev in ilustracija Logične operacije Negacija (eniški komplement) Negiramo vse bite v besedi

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Programirljivi Digitalni Sistemi Digitalni sistem Digitalni sistemi na integriranem vezju Digitalni sistem

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Procesorji Model računalnika, mikrokrmilnik CPE = mikrosekvenčnik + podatkovna

Prikaži več

CelotniPraktikum_2011_verZaTisk.pdf

CelotniPraktikum_2011_verZaTisk.pdf Elektrotehniški praktikum Osnove digitalnih vezij Namen vaje Videti, kako delujejo osnovna dvovhodna logi na vezja v obliki integriranih vezij oziroma, kako opravljajo logi ne funkcije Boolove algebre.

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Mario Trifković Programljivi 6 Timer Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, junij 2009 Mario Trifković Programljivi 6 Timer 2 1.

Prikaži več

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajanje prekinitvene rutine Dogodek GLAVNI PROGRAM (MAIN-OB1)

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Zaporedni vmesniki Zaporedni (serijski) vmesniki Zaporedni (serijski) vmesniki

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 5 - LV 1 Meritve dolžine in karakteristične impedance linije VIN - LV 1 Rozman,Škraba, FRI Model linije Rs Z 0, Vs u i u l R L V S - Napetost izvora [V] R S -

Prikaži več

Microsoft Word - vaje2_ora.doc

Microsoft Word - vaje2_ora.doc II UKAZI 1. Napišite zaporedje ukazov, ki vrednost enobajtne spremenljivke STEV1 prepiše v enobajtno spremenljivko STEV2. Nalogo rešite z neposrednim naslavljanjem (zaporedje lahko vsebuje le 2 ukaza v

Prikaži več

DES11_vmesniki

DES11_vmesniki Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki in sekvenčna vezja Zaporedna in vzporedna vodila 1 Vmesniki in vodila

Prikaži več

Vgrajeni sistemi Uvod & ponovitev C

Vgrajeni sistemi Uvod & ponovitev C Analogno-digitalna pretvorba Vgrajeni sistemi 2015/16 Rok Češnovar STM32F4 in ADC imamo 3 ADC naprave (ADC1, ADC2, ADC3) vsaka naprava ima 16 vhodov 8 vhodov je vezanih na vse 3 naprave 8 vhodov je vezanih

Prikaži več

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje priročno programsko okolje tolmač interpreter (ne prevajalnik)

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Osnove jezika VHDL Strukturno načrtovanje in testiranje Struktura vezja s komponentami

Prikaži več

Uvod v programiranje mikrokrmilnikov, zbrano gradivo za predavanja

Uvod v programiranje mikrokrmilnikov, zbrano gradivo za predavanja Spoznali boste osnovni 8-bitni časovnik. Naučili se ga boste konfigurirati in generirati natančne časovne intervale s pomočjo prekinitev. Modul Timer0 je 8-bitni časovnik/števec [2][6][8] z naslednjimi

Prikaži več

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni RAM stroj Nataša Naglič 4. junij 2009 1 RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni trak, pomnilnik ter program. Bralni trak- zaporedje

Prikaži več

Microsoft Word - avd_vaje_ars1_1.doc

Microsoft Word - avd_vaje_ars1_1.doc ARS I Avditorne vaje Pri nekem programu je potrebno izvršiti N=1620 ukazov. Pogostost in trajanje posameznih vrst ukazov računalnika sta naslednja: Vrsta ukaza Štev. urinih period Pogostost Prenosi podatkov

Prikaži več

1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatk

1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatk 1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatkov in njihov prenos med spletnimi mesti. Obrazec v

Prikaži več

PowerPointova predstavitev

PowerPointova predstavitev TIK terminal nima povezave s strežnikom Ob vpisu v TIK Admin se pojavi napis ni povezave s strežnikom Na terminalu je ikona 1. preverimo ali je pravilno nastavljen IP strežnika 1. Preverimo datoteko TIKSAdmin.INI

Prikaži več

Diapozitiv 1

Diapozitiv 1 9. Funkcije 1 9. 1. F U N K C I J A m a i n () 9.2. D E F I N I C I J A F U N K C I J E 9.3. S T A V E K r e t u r n 9.4. K L I C F U N K C I J E I N P R E N O S P A R A M E T R O V 9.5. P R E K R I V

Prikaži več

NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: Faks.: in

NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: Faks.: in NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: +386 1 729 6 460 Faks.: +386 1 729 6 466 www.nevtrin.si info@elektrina.si USB RFID READER Navodila za uporabo?

Prikaži več

KRMILNA OMARICA KO-0

KRMILNA OMARICA KO-0 KOTLOVSKA REGULACIJA Z ENIM OGREVALNIM KROGOM Siop Elektronika d.o.o., Dobro Polje 11b, 4243 Brezje, tel.: +386 4 53 09 150, fax: +386 4 53 09 151, gsm:+386 41 630 089 e-mail: info@siopelektronika.si,

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 12. junij 2013 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Microsoft Word - UP_Lekcija04_2014.docx

Microsoft Word - UP_Lekcija04_2014.docx 4. Zanka while Zanke pri programiranju uporabljamo, kadar moramo stavek ali skupino stavkov izvršiti večkrat zaporedoma. Namesto, da iste (ali podobne) stavke pišemo n-krat, jih napišemo samo enkrat in

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 4 - AV 4 Linije LTSpice, simulacija elektronskih vezij VIN - LV 1 Rozman,Škraba, FRI LTSpice LTSpice: http://www.linear.com/designtools/software/ https://www.analog.com/en/design-center/design-tools-andcalculators/ltspice-simulator.html

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 11. junij 2014 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD ( 5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (angl. Complex Programmable Logic Device) so manjša

Prikaži več

Navodila za uporabo Mini snemalnik

Navodila za uporabo Mini snemalnik Navodila za uporabo Mini snemalnik www.spyshop.eu Pred vami so navodila za pravilno uporabo mini snemalnika in opis funkcionalnosti. Lastnosti snemalnika: Naziv Mere Teža Kapaciteta spomina Snemanje Format

Prikaži več

Microsoft Word - NAVODILA ZA UPORABO.docx

Microsoft Word - NAVODILA ZA UPORABO.docx NAVODILA ZA UPORABO VODILO CCM-18A/N-E (K02-MODBUS) Hvala ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shranite za prihodnjo rabo. Vsebina

Prikaži več

DES11_realno

DES11_realno Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Delovanje realnega vezja Omejitve modela vezja 1 Model v VHDLu je poenostavljeno

Prikaži več

Microsoft Word - Trust-CDsize-12052_12579_14070-al-cp_v5.0.doc

Microsoft Word - Trust-CDsize-12052_12579_14070-al-cp_v5.0.doc PRIROČNIK ZA UPORABO manual Version 5.0 SI TABLET TB-1100 / TB2100 TABLET TB-3100 / TB-4200 1 A B TABLET TB-2100-3100-4200 2 3 4 5 A 6 7 B 8 9 10 11 B A C D 12 A B 13 14 C 15 16 17 18 PRIROČNIK ZA UPORABO

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : Državni izpitni center *M17178111* SPOMLADANSKI IZPITNI ROK Izpitna pola 1 Četrtek, 1. junij 2017 / 90 minut Dovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Strojna oprema

Strojna oprema Asistenta: Mira Trebar, Miha Moškon UIKTNT 2 Uvod v programiranje Začeti moramo razmišljati algoritmično sestaviti recept = napisati algoritem Algoritem za uporabo poljubnega okenskega programa. UIKTNT

Prikaži več

Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0

Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0 Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0 Hz Neomejeno 49,0 Hz-51,0 Hz Neomejeno 51,0 Hz-51,5

Prikaži več

Microsoft PowerPoint - Objekti_gradnja.ppt

Microsoft PowerPoint - Objekti_gradnja.ppt Naredimo razred Katera so stanja/lastnosti Kaj hočemo o objektih te vrste vedeti Kakšne lastnosti imajo Katere so metode Kakšno je znanje objektov Na katere ukaze se odzovejo Način predstavitve lastnosti

Prikaži več

Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefo

Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefo Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefonih. Obstaja precej različic, sam pa sem sestavil meni

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki Vodila, vzporedni (paralelni) vmesniki Vmesniki in vodila naprava 1

Prikaži več

Sistemi Daljinskega Vodenja Vaja 3 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubl

Sistemi Daljinskega Vodenja Vaja 3 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubl Sistemi Daljinskega Vodenja Vaja 3 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani matej.kristan@fe.uni-lj.si Česa smo se naučili

Prikaži več

Logični modul LOGO!

Logični modul LOGO! Logični modul LOGO! LOGO! Siemensov univerzalni logični modul LOGO! vsebuje: Krmilno enoto Enoto za prikaz in tipkovnico Napajalno vezje Vmesnik za spominski modul in PC kabel Funkcije, pripravljene za

Prikaži več

(Microsoft Word - MSDN AA Navodila za \232tudente FS.doc)

(Microsoft Word - MSDN AA Navodila za \232tudente FS.doc) 1. Pogoji uporabe programske opreme Pred uporabo programske opreme iz programa MSDNAA morate prebrati in se strinjati s pogoji in določili Licenčne pogodbe za končnega uporabnika programske opreme MSDN

Prikaži več

Vaja04_Ver02

Vaja04_Ver02 Vaja 04 Varnost: Zaščita aplikacije, omejitev dostopa 1. Uredite prijavo in odjavo uporabnika brez uporabe menuja Special/Security. Nadgradite aplikacijo iz vaje 2. Kreirajte okno tipa Replace Začetno

Prikaži več

Microsoft PowerPoint - NDES_8_USB_LIN.ppt

Microsoft PowerPoint - NDES_8_USB_LIN.ppt Laboratorij za na rtovanje integriranih vezij Fakulteta za elektrotehniko Univerza v Ljubljani ndrej Trost artovanje digitalnih el. sistemov Komunikacijski vmesniki UB in LI http://lniv.fe.uni-lj.si/ndes.html

Prikaži več

seminarska_naloga_za_ev

seminarska_naloga_za_ev Univerza v Ljubljani Fakulteta za elektrotehniko Matevž Seliger 8-kanalni Lightshow Seminarska naloga pri predmetu: V Horjulu, junij 2008 Kazalo: 1 Uvod... 3 1.1 Namen in uporaba izdelka... 3 2 Delovanje...

Prikaži več

Procesorski sistemi v telekomunikacijah

Procesorski sistemi v telekomunikacijah Procesorski sistemi v telekomunikacijah Komunikacija v procesorskih sistemih (c) Arpad Bűrmen, 2010-2012 Sinhrona komunikacija Podatkovne linije + sinhronizacijski signal Sihnronizacijski signal določa

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA NAMESTITEV IN UPORABO Št. izd. : 122383 www.conrad.si ROČNI OSCILOSKOP VELLEMAN HPS140 Št. izdelka: 122383 1 KAZALO 1 MED UPORABO... 3 2 LASTNOSTI IN TEHNIČNI PODATKI... 3 3 OPIS SPREDNJE

Prikaži več

COBISS3/Medknjižnična izposoja

COBISS3/Medknjižnična izposoja 3/Medknjižnična izposoja 2.2 KATALOG Katalog nam omogoča: iskanje gradiva prikaz izbranih bibliografskih zapisov ali pripadajočih podatkov o zalogi iz lokalne baze podatkov v formatu COMARC vpogled v stanje

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : ržavni izpitni center *M15178112* SPOMLNSKI IZPITNI ROK Izpitna pola 2 Četrtek, 4. junij 2015 / 90 minut ovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali

Prikaži več

Upravljanje sistema COBISS Navodila za uporabo tiskalnika CITIZEN S310II V1.0 VIF-NA-27-SI

Upravljanje sistema COBISS Navodila za uporabo tiskalnika CITIZEN S310II V1.0 VIF-NA-27-SI Navodila za uporabo tiskalnika CITIZEN S310II V1.0 VIF-NA-27-SI IZUM, 2015 COBISS, COMARC, COBIB, COLIB, IZUM so zaščitene znamke v lasti javnega zavoda IZUM. KAZALO VSEBINE 1 Uvod... 1 2 Uporaba tiskalnika...

Prikaži več

Navodila:

Navodila: 1 Napišite zaporedje ukazov, ki vrednost enobajtne spremenljivke STEV1 prepiše v enobajtno spremenljivko STEV2. Nalogo rešite z neposrednim naslavljanjem (zaporedje lahko vsebuje le 2 ukaza v zbirniku

Prikaži več

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k 10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, ki ga sprejme antena in dodatni šum T S radijskega sprejemnika.

Prikaži več

Microsoft Word - CNC obdelava kazalo vsebine.doc

Microsoft Word - CNC obdelava kazalo vsebine.doc ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo mesto, april 2008 Ime in priimek študenta ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo

Prikaži več

Microsoft Word - Diploma_Anton_Jug_28_6_09.doc

Microsoft Word - Diploma_Anton_Jug_28_6_09.doc Anton Jug PROGRAMIRLJIV LOGIČNI KRMILNIK NA OSNOVI MIKROKRMILNIKA Diplomsko delo Maribor, julij 2009 Diplomsko delo visokošolskega strokovnega študijskega programa PROGRAMIRLJIV LOGIČNI KRMILNIK NA OSNOVI

Prikaži več

Sistemi Daljinskega Vodenja Vaja 1 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani

Sistemi Daljinskega Vodenja Vaja 1 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani Sistemi Daljinskega Vodenja Vaja 1 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani matej.kristan@fe.uni-lj.si Sistemi Daljinskega Vodenja Ime: Matej Kristan Docent

Prikaži več

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo Turingov stroj in programiranje Barbara Strniša 12. 4. 2010 1 Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolov (običajno Σ 2) Σ n = {s 1 s 2... s n ; s i Σ, i =

Prikaži več

an-01-sl-Temperaturni_zapisovalnik_podatkov_Tempmate.-S1.docx

an-01-sl-Temperaturni_zapisovalnik_podatkov_Tempmate.-S1.docx SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 14 24 835 www.conrad.si NAVODILA ZA UPORABO Temperaturni zapisovalnik podatkov Tempmate. S1 Kataloška št.: 14 24 835 KAZALO 1. OPIS PROGRAMSKE OPREME ZA NAPRAVO...

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA NAMESTITEV IN UPORABO Št. izd. : 343712 www.conrad.si RADIO BUDILKA SANGEAN RCR-3 Št. izdelka: 343712 1 KAZALO 1 POMEMBNI VARNOSTNI NAPOTKI...3 2 UPRAVLJALNI ELEMENTI...4 3 LCD ZASLON...6

Prikaži več

VAU 7.5-3_Kurz_SL_ indd

VAU 7.5-3_Kurz_SL_ indd Navodilo za upravljanje KRATKO NAVODILO Frekvenčni pretvornik VAU 7.5/3 28100241401 11/12 1 Varnostni napotki Opozorilo na udar električnega toka! Smrtna nevarnost! Udar električnega toka utegne povzročiti

Prikaži več

Microsoft PowerPoint - Java_spremenljivke

Microsoft PowerPoint - Java_spremenljivke Java Spremenljivke, prireditveni stavek Spremenljivke Prostor, kjer hranimo vrednosti Ime Znak, števka, _ Presledkov v imenu ne sme biti! Tip spremenljivke int (cela števila) Vse spremenljivke napovemo

Prikaži več

1

1 Univerza v Ljubljani Fakulteta za elektrotehniko Žiga Četrtič Daljinski multimedijski upravljalnik računalnika Seminarska naloga pri predmetu Elektronska vezja Podgora pri Dolskem, oktober 2010 1 Uvod

Prikaži več

Microsoft Word Navodila za povezavo naprave v oblak_SLO

Microsoft Word Navodila za povezavo naprave v oblak_SLO Navodila za povezavo naprave v oblak Navodila naj se predajo končnemu uporabniku. SLO Id.: 17-16-14-2968-03 5.2017 Navodila za povezavo naprave v oblak Id.: 17-16-14-2968-03 5.2017 Natisnjeno v Sloveniji,

Prikaži več

Svet elektronika 198.indd

Svet elektronika 198.indd MikroB, programator za 13 PREDSTAVLJAMO Av tor: mag. Vladimir Mitrović E-poš ta: vladimir.mitrovic@podravka.hr V pretekli številki revije Sveta elektronike smo predstavili naš najcenejši razvojni sistem,

Prikaži več

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod: 1-fazni 230VAC; 4 šuko vtičnica preko UPS-a; 2 šuko

Prikaži več

Navodila za uporabo Mini prenosna HD kamera s snemalnikom

Navodila za uporabo Mini prenosna HD kamera s snemalnikom Navodila za uporabo Mini prenosna HD kamera s snemalnikom www.spyshop.eu Izdelku so priložena navodila v angleščini, ki poleg teksta prikazujejo tudi slikovni prikaz sestave in delovanja izdelka. Lastnosti

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Model vezja Računalniški model in realno vezje Model logičnega negatorja Načini

Prikaži več

Diapozitiv 1

Diapozitiv 1 RAČUNALNIŠKA ARHITEKTURA 5 Operandi RA - 5 2018, Škraba, Rozman, FRI Predstavitev informacije - vsebina 5 Operandi - cilji: Razumevanje različnih formatov zapisovanja operandov Abecede (znaki) Števila

Prikaži več

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 58 86 58 www.conrad.si NAVODILA ZA UPORABO Spajkalna postaja digitalna 80 W Ersa i- CON pico +150 do +450 C Kataloška št.: 58 86 58 Kazalo 1. Dodatki. 2 2.

Prikaži več

Base NET.cdr

Base NET.cdr Rešitev fiksnega radijskega odčitavanja Delovanje BaseNet je način odčitavanja porabe vode, toplote, elektrike, plina in delilnikov toplote v fiksnem radijskem omrežju. Merilnike v Sensus Base sistemu

Prikaži več

Diapozitiv 1

Diapozitiv 1 Pogojni stavek Pogojni (if) stavek Tip bool Primerjanje Uranič Srečo If stavek Vsi dosedanji programi so se izvajali zaporedoma, ni bilo nobenih vejitev Program razvejimo na osnovi odločitev pogojnega

Prikaži več

No Slide Title

No Slide Title Glavne napake-pomoč KRONOS 1 Diagnostika in dostop do sistema PEČICA NAPAKA NAPAKA PRIKAZANA Z KODO NAPAKE NAPAKA BREZ INDIKACIJE KODE NAPAKE 2 Diagnostika in dostop do sistema Prikaz kode napake Informacije

Prikaži več

Microsoft Word - CNS-SW3 Quick Guide_SI

Microsoft Word - CNS-SW3 Quick Guide_SI 1.0 Gumbi in funkcije Canyon SkiMaster Artikel: CNS-SW3 Gumb za spreminjanje načinov [M] Za izbiro med načini Current Time, Daily Alarm, Chronograph, Timer in Dual Time. Za izbiro med načini Ski, Ski Recall,

Prikaži več

FOTO

FOTO SLO FKP500 Oddaljeni prikazovalnik Navodila za montažo in uporabo DS80KP52-001 LBT80203 1/11 FKP500 1 GLAVNA VARNOSTNA NAVODILA 1.1 VARNOST POSAMEZNIKA Glavnim varnostnim navodilom se mora dosledno slediti.

Prikaži več

DCS-2330L_A1_QIG_v1.00(EU).indd

DCS-2330L_A1_QIG_v1.00(EU).indd HD WIRELESS N OUTDOOR CLOUD CAMERA DCS-2330L KRATKA NAVODILA ZA UPORABO VSEBINA PAKETA HD WIRELESS N OUTDOOR CLOUD CAMERA DCS-2330L NAPAJALNI ADAPTER ADAPTER ETHERNET KABEL (CAT5 UTP) MED POSTAVITVIJO,

Prikaži več

Installation manual

Installation manual EKRTR EKRTETS 1 2 1 2 3 60 87 170 161 ±1.5 m >0.2 m 3 5 4 34 125 4 5 28 50 EKRTR EKRTETS Vsebina Pred zagonom naprave pozorno preberite ta priročnik. Ne zavrzite ga. Spravite ga na varno mesto, ker ga

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Digitalni sistemi Vgrajeni digitalni sistemi Digitalni sistem: osebni računalnik

Prikaži več

Microsoft Word - M _mod..docx

Microsoft Word - M _mod..docx Državni izpitni center *M17278113* JESENSKI IZPITNI ROK NAVODILA ZA OCENJEVANJE Ponedeljek, 28. avgust 2017 SPLOŠNA MATURA Državni izpitni center Vse pravice pridržane. M172-781-1-3 2 IZPITNA POLA 1 1

Prikaži več

VARIMOT® in pribor

VARIMOT® in pribor Pogonska tehnika \ Avtomatizacija pogonov \ Sistemska integracija \ Storitve *2593728_0119* Popravki Variatorska gonila s protieksplozijsko zaščito VARIMOT in pribor Izdaja 01/2019 2593728/SL SEW-EURODRIVE

Prikaži več

NAVODILO ZA UPORABO SISTEMA BIOMETRICS Laboratorijski sistem zajema podatkov LS 900 Kratka navodila za rokovanje z instrumentom. Pred uporabo dobro pr

NAVODILO ZA UPORABO SISTEMA BIOMETRICS Laboratorijski sistem zajema podatkov LS 900 Kratka navodila za rokovanje z instrumentom. Pred uporabo dobro pr NAVODILO ZA UPORABO SISTEMA BIOMETRICS Laboratorijski sistem zajema podatkov LS 900 Kratka navodila za rokovanje z instrumentom. Pred uporabo dobro preberi tudi originalna navodila, posebej za uporabo

Prikaži več

Document ID / Revision : 0519/1.3 ID Issuer System (sistem izdajatelja identifikacijskih oznak) Navodila za registracijo gospodarskih subjektov

Document ID / Revision : 0519/1.3 ID Issuer System (sistem izdajatelja identifikacijskih oznak) Navodila za registracijo gospodarskih subjektov ID Issuer System (sistem izdajatelja identifikacijskih oznak) Navodila za registracijo gospodarskih subjektov Gospodarski subjekti Definicija: V skladu z 2. členom Izvedbene uredbe Komisije (EU) 2018/574

Prikaži več

Datum in kraj

Datum in kraj Ljubljana, 5. 4. 2017 Katalog znanj in vzorci nalog za izbirni izpit za vpis na magistrski študij Pedagoško računalništvo in informatika 2017/2018 0 KATALOG ZNANJ ZA IZBIRNI IZPIT ZA VPIS NA MAGISTRSKI

Prikaži več

Microsoft Word - DIPLOMA.doc

Microsoft Word - DIPLOMA.doc Diplomsko delo: Uvod I UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO 2000 Maribor, Smetanova ul. 17 Diplomska naloga univerzitetnega študijskega programa Preverjanje pristnosti

Prikaži več

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah O opozorilih OPOZORILO: OPOZORILO označuje možnost poškodb lastnine, telesnih poškodb ali smrti. Dell Vostro 430 List s tehničnimi informacijami o nastavitvi in funkcijah Pogled s sprednje in zadnje strani

Prikaži več

Navodila za uporabo programske opreme OTRS verzija Administracijska navodila Avtor navodil: Sebastijan Šilec Datum: December 2007 Center odprte

Navodila za uporabo programske opreme OTRS verzija Administracijska navodila Avtor navodil: Sebastijan Šilec Datum: December 2007 Center odprte Navodila za uporabo programske opreme OTRS verzija 2.2.3 Administracijska navodila Avtor navodil: Sebastijan Šilec Datum: December 2007 Center odprte kode Slovenije Spletna stran: http://www.coks.si/ Elektronski

Prikaži več

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO VISOKOŠOLSKI STROKOVNI ŠTUDIJ Elektrotehnika Elektronika POROČILO PRAKTI

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO VISOKOŠOLSKI STROKOVNI ŠTUDIJ Elektrotehnika Elektronika POROČILO PRAKTI UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO VISOKOŠOLSKI STROKOVNI ŠTUDIJ Elektrotehnika Elektronika POROČILO PRAKTIČNEGA IZOBRAŽEVANJA V ELRAD International, d. o. o.

Prikaži več

Šolski center celje

Šolski center celje ŠOLSKI CENTER CELJE Gimnazija Lava DVORIŠČNA VRATA NA DALJINSKO UPRAVLJANJE MENTOR: Matjaž Cizej, univ. dipl. inž. AVTOR: Roman Leban, L-4.F Celje, marec 2010 KAZALO VSEBINE 1 POVZETEK /SUMMARY... 1 2

Prikaži več

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 10 24 54 www.conrad.si NAVODILA ZA UPORABO Impulzni števec Hengstler Tico 731, litijeva baterija, 8-mestni CR0731101 Kataloška št.: 10 24 54 Kazalo 1. Navodila

Prikaži več

PodroĊje uporabe

PodroĊje uporabe Regulator Dialog za sisteme s toplotno črpalko Področje uporabe Regulator DIALOG tč je namenjen vodenju ogrevanja in hlajenja v družinskih hišah s pomočjo toplotne črpalke kot samostojnim virom ali v kombinaciji

Prikaži več

SLO NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: NAVODILA ZA UPORABO Digitalni trifazni števec električnega toka TIP NOVA 80 MID 4L

SLO NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: NAVODILA ZA UPORABO Digitalni trifazni števec električnega toka TIP NOVA 80 MID 4L SLO NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 51 67 10 www.conrad.si NAVODILA ZA UPORABO Digitalni trifazni števec električnega toka TIP NOVA 80 MID 4L Kataloška št.: 51 67 10 KAZALO MODELI NAPRAVE...3

Prikaži več

Vacon 100 FLOW Application Manual

Vacon 100 FLOW Application Manual vacon 100 flow FREKVENČNI PRETVORNIKI NAVODILA ZA NASTAVITEV PARAMETROV PREDGOVOR VACON 3 PREDGOVOR ID dokumenta: DPD01560E Datum: 18.3.2016 Različica programske opreme: FW0159V013 O TEM PRIROČNIKU Avtorske

Prikaži več

NAVODILA ZA UPORABO Smart watch JW018 POZOR! Ura vsebuje magnetne sestavine. Osebe z vgrajenim srčnim spodbujevalnikom (pacemaker) ali kakršnimi drugi

NAVODILA ZA UPORABO Smart watch JW018 POZOR! Ura vsebuje magnetne sestavine. Osebe z vgrajenim srčnim spodbujevalnikom (pacemaker) ali kakršnimi drugi NAVODILA ZA UPORABO Smart watch JW018 POZOR! Ura vsebuje magnetne sestavine. Osebe z vgrajenim srčnim spodbujevalnikom (pacemaker) ali kakršnimi drugimi elektromagnetnimi aparati ne smejo uporabljati tega

Prikaži več

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvantnih celičnih avtomatov SEMINARSKA NALOGA Univerzitetna

Prikaži več

(Microsoft Word - U\350enje telegrafije po Kochovi metodi.doc)

(Microsoft Word - U\350enje telegrafije po Kochovi metodi.doc) MORSE UČENJE PO KOCHOVI METODI Računalniški program za učenje skupaj z nekaterimi dodatnimi datotekami dobite na spletni strani avtorja: http://www.g4fon.net/. Zanimive strani so tudi: - http://www.qsl.net/n1irz/finley.morse.html

Prikaži več

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s 1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni smeri obnaša kot nelinearen kondenzator, ki mu z višanjem

Prikaži več

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Klemen Hiti Računalniški sistem za nadzor in upravljanje akvarija DIPLOMSKO DELO VISOKO

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Klemen Hiti Računalniški sistem za nadzor in upravljanje akvarija DIPLOMSKO DELO VISOKO UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Klemen Hiti Računalniški sistem za nadzor in upravljanje akvarija DIPLOMSKO DELO VISOKOŠOLSKI STROKOVNI ŠTUDIJSKI PROGRAM PRVE STOPNJE RAČUNALNIŠTVO

Prikaži več

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Mihael Sklepić SISTEM ZA KRMILJENJE TALNEGA GRETJA NA SONČNO ENERGIJO Z

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Mihael Sklepić SISTEM ZA KRMILJENJE TALNEGA GRETJA NA SONČNO ENERGIJO Z UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Mihael Sklepić SISTEM ZA KRMILJENJE TALNEGA GRETJA NA SONČNO ENERGIJO Z UPORABO KOLEKTORJEV Diplomsko delo Maribor, september

Prikaži več

Kartica DeviceNet

Kartica DeviceNet Navodila za montažo Kartica DeviceNet VLT Soft Starter MCD 600 Vsebina Vsebina 1 Varnost 4 1.1 Omejitev odgovornosti 4 1.2 Opozorila 4 1.3 Pomembne uporabniške informacije 4 2 Namestitev 5 2.1 Namestitev

Prikaži več

101353_-an-01-sl-_vbodni_termometer

101353_-an-01-sl-_vbodni_termometer SLO - NAVODILO ZA NAMESTITEV IN UPORABO Št. izd. : 101353 www.conrad.si TFA LT-102 VBODNI TERMOMETER Št. izdelka: 101353 1 KAZALO 1 LASTNOSTI...3 2 LCD ZASLON...3 3 ZAČETEK OBRATOVANJA...3 4 UPRAVLJANJE...4

Prikaži več

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila Programsko orodje LabVIEW za kreiranje, zajem in obdelavo signalov (statične in dinamične karakteristike hidravličnih proporcionalnih ventilov) Marko Šimic Telefon: +386 1 4771 727 e-mail: marko.simic@fs.uni-lj.si

Prikaži več