DES

Velikost: px
Začni prikazovanje s strani:

Download "DES"

Transkripcija

1 Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Model vezja Računalniški model in realno vezje

2 Model logičnega negatorja Načini predstavitve (modeliranja) negatorja: enačba električni simbol pravilnostna tabela

3 Delovanje negatorja x 1 0 F x F x 0 1 F 1 0 x F time električni simbol tranzistorska izvedba vezja pravilnostna tabela časovni diagram vrednost vhoda x : 1 povzroči izhod F : 0 Digital Design Copyright 2006 Frank Vahid

4 Logični negator: model in realno vezje V vezju so namesto 0 in 1 različni potenciali CMOS vezje 0V Vdd

5 Vhodno-izhodni priključki integriranega vezja vhodni ojačevalnik (buffer) izhodni tri-stanjski ojačevalnik logični izhod ali izklopljeno stanje (visoka impedanca) priključna nožica otok izhod vhod omogoči izhod

6 Model vhodnih in izhodnih priključkov Enostaven model tehnologije CMOS vhod = kondenzator izhod = stikalo kondenzatorji na napajal. priključkih

7 Prenos signalov v digitalnem vezju

8 Prenos signalov v digitalnem vezju

9 Območje potencialov: 0... Vdd/2... Vdd Kako intepretiramo signal s potencialom Vdd / 2? logična 0: 0V V L 1V logična 1: 3V V H 5V

10 Šum na signalnih povezavah

11 Rešitev: statični red logični izhod ima manjše območje kot za vhod Npr. podatki za 5V CMOS:

12 Priključki programirljivega vezja

13 Vezava signalov CMOS (5V) in LVCMOS (3.3V) Preveriti je potrebno statični red! iz 3.3V na 5V gre, v obratni smeri pa je potrebna prilagoditev

14 Realni pomnilni gradniki (flip-flopi) Realni časovni diagram:

15 Dinamični red vhod Sinhronizator logika r1 logika r2 logika r3 izhod clk S skrbnim načrtovanjem bo vedno izpolnjen dinamični red ura mora priti do vseh pomnilnih elementov istočasno sinhrono sekvenčno vezje Na asinhronem vhodu ne moremo upoštevati pravil! vhodi se ne spreminjajo po zakonitostih naše ure in ne moremo zagotavljati dinamičnega reda (t S in t H )

16 vhod clk Vezava ure v čipu Struktura H povezav zagotavlja enake zakasnitve do vseh celic

17 Vezje za sinhronizacijo Poskus rešitve: uporabimo D flip-flop obstaja možnost, da gre v metastabilno stanje čez (nedoločen) čas gre izhod v eno ali drugo stabilno stanje

18 Metastabilno stanje V 2 Stable point 0 1 Metastable point lastnost bistabilnih vezij čez čas gre v stabilno stanje nedoločen čas okrevanja verjetnost za metastabilnost eksponentno pada s časom Stable point V 1

19 Sinhronizacija z več D flip-flopi A FF1 AW FF2 AS D Q D Q Clk Clk A AW AS eksponentno pada P(napake) = P(metastab stanja) x P(ni še stabilno po t w ) Zakasnitev signala je cena za sinhronizacijo, ki se ji ne moremo izogniti!

20 Načrtovanje vmesnikov problem komunikacijskih vmesnikov je sinhronizacija asinhrone signale vzorčimo z višjo frekvenco ure in jih peljemo čez sinhronizacijsko vezje težav z metastabilnostjo ne vidimo na simulaciji! sinhronizacija s FF rx D Q D Q rxs clk možna metastabilna stanja clk rx rxs

21 programirljiva vezja Ravni (nivoji) modeliranja digitalnih vezij specifikacija SystemC C postopkovni (behavioral) funkcijski (dataflow, RTL) logični transistorska shema geometrija vezja (layout) VISOKONIVOJSKI JEZIKI SHEMATSKI OPIS VHDL Verilog Standardizirani jeziki (IEEE) VHDL Verilog, System Verilog SystemC

22 Načrtovanje s programirljivimi vezji 1. Opis vezja in simulacija (Design Entry Utilities) 2. Sinteza logičnega vezja (Synthesize) 3. Prevajanje in tehnološka preslikava določimo lokacije priključkov (User Constraints) 4. Izdelava prog. datotek in nalaganje vezja Proces za CPLD Proces za FPGA

23 Kaj dela opisano vezje? process (a, b) begin (a or b) case (b) 0: c=a; 1: c=2'b01; default: c=2'b00; endcase case b is when 0 => c <= a; when 1 => c <= "01"; when others => c <= "00"; end case; end process;

24 Sinteza vezja iz opisa v jeziku VHDL c <= a+b when b>0 else a-b; Kaj naredi program za sintezo vezja? operatorji +, - so kombinacijska vezja: seštevalnik, odštevalnik izbirni stavek when else je izbiralnik a(7:0) b(7:0) c(7:0) zasedenost vezja CPLD 21 / 63 / 0 produkt. členov celic flip-flopov

25 Postopek sinteze vključuje optimizacijo c <= a+b when b>0 else a-b; primerjalnik (or7, and2) in ADDSUB blok zasedenost 19 / 55 / 0 Drug zapis: c <= a+b when b>=0 else a-b; zasedenost 17 / 53 / 0

26 Načrtovanje na nivoju registrov RTL: delitev na krmilni in podatkovni del določitev zaporedja operacij (avtomat) opis gradnikov na podatkovni poti modul(arhitektura) VHDL Very high-speed IC Hardware Description Language vodilo clk vpis beri xor, + ROM krmilni avtomat register a register b podatkovna pot prireditveni stavki p1: proces p2: proces komponenta q <= n; p1: process(clk) begin if rising_edge(clk) then n <= n + 1; end if; end process; komponenta komponenta

27 Funkcijski opis vezja v jeziku VHDL stavki opisujejo gradnike vezja stavki za opis vezja se izvajajo paralelno vrstni red stavkov ni pomemben (sočasni stavki) entity adder is port ( a, b : in std_logic; carry : in std_logic; sum : out std_logic); end adder; architecture logic of adder is signal c : std_logic; begin sum <= c xor carry; c <= a xor b; end one; a b carry adder(logic) c deklaracija notranjega signala sum

28 Postopkovni opis vezja v jeziku VHDL v procesu opišemo delovanje vezja zgradbo vezja določi program za sintezo vezij vrstni red stavkov je pomemben (sekvenčni stavki) arhitektura p1: process ventil <= 0 ; if pretok > 10 then ventil <= 1 ; end if; if alarm = 1 then ventil <= 0 ; end if; pretok alarm p1: 10 > ventil end process;

29 Obravnava VHDL modelov vezij architecture logic of adder is signal c : std_logic; begin sum <= c xor carry; c <= a xor b; end one; adder(one) dogodek a b carry c sum a b carry sum Sintetizirano vezje Graf simulacije (waveform)

30 Potek simulacije a b carry adder c sum a b carry c dogodek seznam dogodkov: a: 0, 1 (T) carry: 0, 1 (T) sum korak čas a b carry sum c seznam 0 0, 1(T) 0 0, 1(T) 0 0 izvrši T izračunaj T (T+Δ) 1(T+Δ) izvrši T+Δ izračunaj T+Δ (T+2Δ) 1 izvrši T+2Δ

31 Lastnosti realnih kombinacijskih vezij Primer: seštevalnik in primerjalnik add <= a + 1; n <= '1' when add=0 else '0'; zakasnitev motnja

32 Povzetek Opiši model priključkov digitalnega vezja v izvedbi CMOS. Zakaj potrebujemo kondenzatorje? Kako delujejo dvosmerni priključki? Razloži prenos logičnih vrednosti med digitalnima vezjema. Kaj določa statični red? Pojasni razlike med logičnim modelom in realnim vezjem. Opiši delovanje realnih gradnikov. Kaj je potrebno zagotoviti za zanesljivo delovanje flip-flopa? Razloži ravni (nivoje) modeliranja digitalnih vezij. Kaj je VHDL in katere ravni obsega?

DES11_realno

DES11_realno Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Delovanje realnega vezja Omejitve modela vezja 1 Model v VHDLu je poenostavljeno

Prikaži več

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD ( 5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (angl. Complex Programmable Logic Device) so manjša

Prikaži več

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funkciji izbiralnika. Tisti od 2 n izhodov y 0,.., y 2

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Osnove jezika VHDL Strukturno načrtovanje in testiranje Struktura vezja s komponentami

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Zaporedni vmesniki Zaporedni (serijski) vmesniki Zaporedni (serijski) vmesniki

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Digitalni sistemi Vgrajeni digitalni sistemi Digitalni sistem: osebni računalnik

Prikaži več

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvantnih celičnih avtomatov SEMINARSKA NALOGA Univerzitetna

Prikaži več

DES11_vmesniki

DES11_vmesniki Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki in sekvenčna vezja Zaporedna in vzporedna vodila 1 Vmesniki in vodila

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Programirljivi Digitalni Sistemi Digitalni sistem Digitalni sistemi na integriranem vezju Digitalni sistem

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki Vodila, vzporedni (paralelni) vmesniki Vmesniki in vodila naprava 1

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 4 - AV 4 Linije LTSpice, simulacija elektronskih vezij VIN - LV 1 Rozman,Škraba, FRI LTSpice LTSpice: http://www.linear.com/designtools/software/ https://www.analog.com/en/design-center/design-tools-andcalculators/ltspice-simulator.html

Prikaži več

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Žiga Mlakar PROGRAMIRLJIVE STRUKTURE FPGA NIZKE KOMPLEKSNOSTI Diplomsko delo Maribor, september 2014 PROGRAMIRLJIVE STRUKTURE

Prikaži več

Datum in kraj

Datum in kraj Ljubljana, 5. 4. 2017 Katalog znanj in vzorci nalog za izbirni izpit za vpis na magistrski študij Pedagoško računalništvo in informatika 2017/2018 0 KATALOG ZNANJ ZA IZBIRNI IZPIT ZA VPIS NA MAGISTRSKI

Prikaži več

CelotniPraktikum_2011_verZaTisk.pdf

CelotniPraktikum_2011_verZaTisk.pdf Elektrotehniški praktikum Osnove digitalnih vezij Namen vaje Videti, kako delujejo osnovna dvovhodna logi na vezja v obliki integriranih vezij oziroma, kako opravljajo logi ne funkcije Boolove algebre.

Prikaži več

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 II Diplomsko delo visokošolskega strokovnega študijskega programa DETEKTOR FAZNE NAPAKE OPTIČNEGA ENKODERJA

Prikaži več

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Trditev: idealni enosmerni tokovni vir obratuje z močjo

Prikaži več

Microsoft PowerPoint - IPPU-V2.ppt

Microsoft PowerPoint - IPPU-V2.ppt Informatizacija poslovnih procesov v upravi VAJA 2 Procesni pogled Diagram aktivnosti IPPU vaja 2; stran: 1 Fakulteta za upravo, 2006/07 Procesni pogled Je osnova za razvoj programov Prikazuje algoritme

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 12. junij 2013 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Slide 1

Slide 1 Tehnike programiranja PREDAVANJE 10 Uvod v binarni svet in računalništvo (nadaljevanje) Logične operacije Ponovitev in ilustracija Logične operacije Negacija (eniški komplement) Negiramo vse bite v besedi

Prikaži več

REALIZACIJA ELEKTRONSKIH SKLOPOV

REALIZACIJA ELEKTRONSKIH SKLOPOV Načrtovanje zaemc = elektronike 2 1 Katedra za elektroniko 2 Čemu? 3 Kdo? Katedra za elektroniko 4 Izziv: DC/DC stikalni napajalnik navzdol U vhod Vhodno sito Krmilno integrirano vezje NMOSFET NMOSFET

Prikaži več

Microsoft Word - CNC obdelava kazalo vsebine.doc

Microsoft Word - CNC obdelava kazalo vsebine.doc ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo mesto, april 2008 Ime in priimek študenta ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 11. junij 2014 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Procesorji Model računalnika, mikrokrmilnik CPE = mikrosekvenčnik + podatkovna

Prikaži več

seminarska_naloga_za_ev

seminarska_naloga_za_ev Univerza v Ljubljani Fakulteta za elektrotehniko Matevž Seliger 8-kanalni Lightshow Seminarska naloga pri predmetu: V Horjulu, junij 2008 Kazalo: 1 Uvod... 3 1.1 Namen in uporaba izdelka... 3 2 Delovanje...

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 5 - LV 1 Meritve dolžine in karakteristične impedance linije VIN - LV 1 Rozman,Škraba, FRI Model linije Rs Z 0, Vs u i u l R L V S - Napetost izvora [V] R S -

Prikaži več

ARS1

ARS1 Nepredznačena in predznačena cela števila Dvojiški zapis Nepredznačeno Predznačeno 0000 0 0 0001 1 1 0010 2 2 0011 3 3 Pri odštevanju je stanje C obratno (posebnost ARM)! - če ne prekoračimo 0 => C=1 -

Prikaži več

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 19 14 56 www.conrad.si NAVODILA ZA UPORABO Univerzalni širokopasovni predojačevalnik Kemo B073, komplet za sestavljanje Kataloška št.: 19 14 56 Kazalo Slike...

Prikaži več

Microsoft Word - Avditorne.docx

Microsoft Word - Avditorne.docx 1. Naloga Delovanje oscilatorja je odvisno od kapacitivnosti kondenzatorja C. Dopustno območje izhodnih frekvenc je podano z dopustnim območjem kapacitivnosti C od 1,35 do 1,61 nf. Uporabljen je kondenzator

Prikaži več

Logični modul LOGO!

Logični modul LOGO! Logični modul LOGO! LOGO! Siemensov univerzalni logični modul LOGO! vsebuje: Krmilno enoto Enoto za prikaz in tipkovnico Napajalno vezje Vmesnik za spominski modul in PC kabel Funkcije, pripravljene za

Prikaži več

VIN Lab 1

VIN Lab 1 Vhodno izhodne naprave Laboratorijska vaja 1 - AV 1 Signali, OE, Linije VIN - LV 1 Rozman,Škraba, FRI Laboratorijske vaje VIN Ocena iz vaj je sestavljena iz ocene dveh kolokvijev (50% ocene) in iz poročil

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : ržavni izpitni center *M15178112* SPOMLNSKI IZPITNI ROK Izpitna pola 2 Četrtek, 4. junij 2015 / 90 minut ovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali

Prikaži več

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s 1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni smeri obnaša kot nelinearen kondenzator, ki mu z višanjem

Prikaži več

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampreht, Luka Stepančič, Igor Vizec, Boštjan Žankar Povzetek

Prikaži več

Slajd 1

Slajd 1 REPUBLIKA SLOVENIJA MINISTRSTVO ZA JAVNO UPRAVO 1 EU ENOTNI DIGITALNI PORTAL: PRIHAJA NOVA EU UREDBA Alenka Žužek Nemec, Tina Kuliš DNEVI SLOVENSKE INFORMATIKE 18. april 2018 Ko podjetja ali državljani

Prikaži več

Microsoft Word - M doc

Microsoft Word - M doc Državni izpitni center *M11145113* INFORMATIKA SPOMLADANSKI IZPITNI ROK NAVODILA ZA OCENJEVANJE Petek, 10. junij 2011 SPLOŠNA MATURA RIC 2011 2 M111-451-1-3 IZPITNA POLA 1 1. b 2. a 3. Pojem se povezuje

Prikaži več

Strojna oprema

Strojna oprema Asistenta: Mira Trebar, Miha Moškon UIKTNT 2 Uvod v programiranje Začeti moramo razmišljati algoritmično sestaviti recept = napisati algoritem Algoritem za uporabo poljubnega okenskega programa. UIKTNT

Prikaži več

Microsoft PowerPoint - ORS-1.ppt

Microsoft PowerPoint - ORS-1.ppt ORGANIZACIJA RAČUNALNIŠKIH SISTEMOV Lastnosti integriranih digitalnih vezij ORS 2013, Igor Škraba, FRI Von Neumannov model računalnika (= matematični model in dejanski računalnik) ne določa tehnologije,

Prikaži več

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE ELEKTRONIKA ŠTUDIJ ELEKTRONIKE Umetni nos, Laboratorij za mikroelektroniko, FE Odprtokodni instrument, Red Pitaya, Ljubljana Senzorji krvnega tlaka, Hyb, Šentjernej Elaphe, elektronika omogoča električno

Prikaži več

Microsoft PowerPoint - NDES_8_USB_LIN.ppt

Microsoft PowerPoint - NDES_8_USB_LIN.ppt Laboratorij za na rtovanje integriranih vezij Fakulteta za elektrotehniko Univerza v Ljubljani ndrej Trost artovanje digitalnih el. sistemov Komunikacijski vmesniki UB in LI http://lniv.fe.uni-lj.si/ndes.html

Prikaži več

Diapozitiv 1

Diapozitiv 1 9. Funkcije 1 9. 1. F U N K C I J A m a i n () 9.2. D E F I N I C I J A F U N K C I J E 9.3. S T A V E K r e t u r n 9.4. K L I C F U N K C I J E I N P R E N O S P A R A M E T R O V 9.5. P R E K R I V

Prikaži več

Poskusi s kondenzatorji

Poskusi s kondenzatorji Poskusi s kondenzatorji Samo Lasič, Fakulteta za Matematiko in Fiziko, Oddelek za fiziko, Ljubljana Povzetek Opisani so nekateri poskusi s kondenzatorji, ki smo jih izvedli z merilnim vmesnikom LabPro.

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec 2.TRAN analiza (Analiza v časovnem prostoru) Iskanje odziva nelinearnega dinamičnega vezja v časovnem prostoru Prehodni pojavi Stacionarno

Prikaži več

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila Programsko orodje LabVIEW za kreiranje, zajem in obdelavo signalov (statične in dinamične karakteristike hidravličnih proporcionalnih ventilov) Marko Šimic Telefon: +386 1 4771 727 e-mail: marko.simic@fs.uni-lj.si

Prikaži več

Slide 1

Slide 1 Projektno vodenje PREDAVANJE 7 doc. dr. M. Zajc matej.zajc@fe.uni-lj.si Projektno vodenje z orodjem Excel Predstavitev Najbolj razširjeno orodje za delo s preglednicami Dva sklopa funkcij: Obdelava številk

Prikaži več

Šolski center celje

Šolski center celje ŠOLSKI CENTER CELJE Gimnazija Lava DVORIŠČNA VRATA NA DALJINSKO UPRAVLJANJE MENTOR: Matjaž Cizej, univ. dipl. inž. AVTOR: Roman Leban, L-4.F Celje, marec 2010 KAZALO VSEBINE 1 POVZETEK /SUMMARY... 1 2

Prikaži več

17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-p

17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-p 17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-prepustna in pasovno-zaporna. Frekvenčna sita gradimo

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Državni izpitni center *M77* SPOMLADANSK ZPTN OK NAVODLA ZA OCENJEVANJE Petek, 7. junij 0 SPLOŠNA MATA C 0 M-77-- ZPTNA POLA ' ' QQ QQ ' ' Q QQ Q 0 5 0 5 C Zapisan izraz za naboj... točka zračunan naboj...

Prikaži več

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje priročno programsko okolje tolmač interpreter (ne prevajalnik)

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Mario Trifković Programljivi 6 Timer Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, junij 2009 Mario Trifković Programljivi 6 Timer 2 1.

Prikaži več

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k 10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, ki ga sprejme antena in dodatni šum T S radijskega sprejemnika.

Prikaži več

Procesorski sistemi v telekomunikacijah

Procesorski sistemi v telekomunikacijah Procesorski sistemi v telekomunikacijah Komunikacija v procesorskih sistemih (c) Arpad Bűrmen, 2010-2012 Sinhrona komunikacija Podatkovne linije + sinhronizacijski signal Sihnronizacijski signal določa

Prikaži več

50020_00426_E_DuoControl CS_010419_SL.indb

50020_00426_E_DuoControl CS_010419_SL.indb DuoControl CS SL Navodila za vgradnjo Stran 2 DuoControl CS Kazalo Uporabljeni simboli... 2 Navodila za vgradnjo Obseg dobave... 3 Varnostna navodila... 3 Zaščita pred umazanijo / naoljenjem... 3 Mere

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : Državni izpitni center *M17178111* SPOMLADANSKI IZPITNI ROK Izpitna pola 1 Četrtek, 1. junij 2017 / 90 minut Dovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

PRIPOROČILA ZA OBLIKOVANJE KATALOGOV ZNANJA ZA MODULE V PROGRAMIH VIŠJEGA STROKOVNEGA IZOBRAŽEVANJA

PRIPOROČILA ZA OBLIKOVANJE KATALOGOV ZNANJA ZA MODULE V PROGRAMIH VIŠJEGA STROKOVNEGA IZOBRAŽEVANJA KATALOG ZNANJA 1. IME PREDMETA ZBIRKE PODATKOV I ZBIRKE PODATKOV II 2. SPLOŠNI CILJI Splošni cilji predmeta so: razvijanje sposobnosti za uporabo znanstvenih metod in sredstev, razvijanje odgovornosti

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec Pomagala za hitrejšo/boljšo konvergenco Modifikacija vezja s prevodnostimi Med vsa vozlišča in maso se dodajo upori Velikost uporov določa

Prikaži več

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni RAM stroj Nataša Naglič 4. junij 2009 1 RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni trak, pomnilnik ter program. Bralni trak- zaporedje

Prikaži več

Področje uporabe

Področje uporabe Regulator Področja uporabe Regulator DIALOG EQ je namenjen predvsem vodenju in nadziranju sistemov ogrevanja in hlajenja, lahko pa se uporabi tudi na različnih področjih avtomatizacije in inteligentnih

Prikaži več

Microsoft PowerPoint - Sirikt-SK-FV.ppt

Microsoft PowerPoint - Sirikt-SK-FV.ppt E-učbeniki za izbrane naravoslovno-tehniške predmete E-books for selected science and technical subjects Slavko KOCIJANČIČ Univerza v Ljubljani, Pedagoška fakulteta slavko.kocijancic@pef.uni-lj.si Franc

Prikaži več

innbox_f60_navodila.indd

innbox_f60_navodila.indd Osnovna navodila Komunikacijski prehod Innbox F60 SFP AC Varnostna opozorila Pri uporabi opreme upoštevajte naslednja opozorila in varnostne ukrepe. Da bi v največji meri izkoristili najnovejšo tehnologijo

Prikaži več

LINEARNA ELEKTRONIKA

LINEARNA ELEKTRONIKA Linearna elektronika - Laboratorijske vaje 1 LINERN ELEKTRONIK LBORTORIJSKE VJE Priimek in ime : Skpina : Datm : 1. vaja : LSTNOSTI DVOVHODNEG VEZJ Naloga : Za podano ojačevalno stopnjo izmerite h parametre,

Prikaži več

Analiza energijske ucinkovitosti vgrajenega mikroprocesorja BA20

Analiza energijske ucinkovitosti vgrajenega mikroprocesorja BA20 Univerza v Ljubljani Fakulteta za računalništvo in informatiko Blaž Kelbl Analiza energijske učinkovitosti vgrajenega mikroprocesorja BA20 DIPLOMSKO DELO UNIVERZITETNI ŠTUDIJSKI PROGRAM PRVE STOPNJE RAČUNALNIŠTVO

Prikaži več

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, 2013 5.marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zaporedno ali b) vzporedno Določi nadomestno upornost

Prikaži več

Microsoft Word - UNI_Fekonja_Andrej_1988

Microsoft Word - UNI_Fekonja_Andrej_1988 Andrej Fekonja VODENJE TRANSPORTNEGA SISTEMA BOSCH TS S PROGRAMSKIM PAKETOM MATLAB/SIMULINK Diplomsko delo Maribor, september 20 I Diplomsko delo univerzitetnega študijskega programa VODENJE TRANSPORTNEGA

Prikaži več

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajanje prekinitvene rutine Dogodek GLAVNI PROGRAM (MAIN-OB1)

Prikaži več

Microsoft Word - M

Microsoft Word - M Državni izpitni center *M773* SPOMLADANSKI IZPITNI ROK NAVODILA ZA OCENJEVANJE Četrtek, 4. junij SPLOŠNA MATRA RIC M-77--3 IZPITNA POLA ' ' Q Q ( Q Q)/ Zapisan izraz za naboja ' ' 6 6 6 Q Q (6 4 ) / C

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno-izhodne naprave naprave 1 Uvod VIN - 1 2018, Igor Škraba, FRI Vsebina 1 Uvod Signal električni signal Zvezni signal Diskretni signal Digitalni signal Lastnosti prenosnih medijev Slabljenje Pasovna

Prikaži več

Podatkovni model ER

Podatkovni model ER Podatkovni model Entiteta- Razmerje Iztok Savnik, FAMNIT 2018/19 Pregled: Načrtovanje podatkovnih baz Konceptualno načtrovanje: (ER Model) Kaj so entite in razmerja v aplikacijskem okolju? Katere podatke

Prikaži več

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod: 1-fazni 230VAC; 4 šuko vtičnica preko UPS-a; 2 šuko

Prikaži več

MJK je specializiran proizvajalec merilne in nadzorne opreme za vodovode in čistilne naprave. Z izkušnjami, ki jih jamči 35 letna tradicija in z osred

MJK je specializiran proizvajalec merilne in nadzorne opreme za vodovode in čistilne naprave. Z izkušnjami, ki jih jamči 35 letna tradicija in z osred MJK je specializiran proizvajalec merilne in nadzorne opreme za vodovode in čistilne naprave. Z izkušnjami, ki jih jamči 35 letna tradicija in z osredotočenostjo na eno prodajno področje, je prisoten v

Prikaži več

VPRAŠANJA ZA USTNI IZPIT PRI PREDMETU OSNOVE ELEKTROTEHNIKE II PREDAVATELJ PROF. DR. DEJAN KRIŽAJ Vprašanja so v osnovi sestavljena iz naslovov poglav

VPRAŠANJA ZA USTNI IZPIT PRI PREDMETU OSNOVE ELEKTROTEHNIKE II PREDAVATELJ PROF. DR. DEJAN KRIŽAJ Vprašanja so v osnovi sestavljena iz naslovov poglav VPRAŠANJA ZA USTNI IZPIT PRI PREDMETU OSNOVE ELEKTROTEHNIKE II PREDAVATELJ PROF. DR. DEJAN KRIŽAJ Vprašanja so v osnovi sestavljena iz naslovov poglavij v učbeniku Magnetika in skripti Izmenični signali.

Prikaži več

Gradbeništvo kot Industrija 4.0

Gradbeništvo kot Industrija 4.0 Povzetek: Kot vse druge panoge se mora gradbeništvo modernizirati Industrija 4.0 koncept, ki daje modernizaciji okvir, motivacijo, zagon Industrija 4.0 je stapljanje fizičnega in digitalnega sveta Gradbeništvo

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Jernej Plankar IR vmesnik za prenos zvoka Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, avgust 2011 Jernej Plankar IR prenos zvoka 2 1 UVOD

Prikaži več

Event name or presentation title

Event name or  presentation title Marko Škufca Vodja programa BI, ADD d.o.o. Gorazd Cah Specialist področja Služba za informatiko, DARS d.d. Izziv Rešitev Rezultati... PROCESI + TEHNOLOGIJA + LJUDJE Poslanstvo: s sodobnimi pristopi in

Prikaži več

STAVKI _5_

STAVKI _5_ 5. Stavki (Teoremi) Vsebina: Stavek superpozicije, stavek Thévenina in Nortona, maksimalna moč na bremenu (drugič), stavek Tellegena. 1. Stavek superpozicije Ta stavek določa, da lahko poljubno vezje sestavljeno

Prikaži več

CMSC 838T Lecture

CMSC 838T Lecture Uvod v UML Iztok Savnik Uvod Standarden jezik za pisanje specifikacij programske opreme. Poslovni informacijski sistemi Porazdeljene spletne aplikacije Vgnezdeni sistemi v realnem času Kreiranje konceptualnega

Prikaži več

untitled

untitled UDK621.3:(53+54+621+66), ISSN0352-9045 Informacije MIDEM 40(2010)1, Ljubljana NAPAJALNI SISTEM BATERIJSKO PODPRTE RFID ZNAČKE 1 Kosta Kovačič, 2 Anton Pleteršek 1 IDS d.o.o. Ljubljana, Slovenia 2 University

Prikaži več

Diapozitiv 1

Diapozitiv 1 Pogojni stavek Pogojni (if) stavek Tip bool Primerjanje Uranič Srečo If stavek Vsi dosedanji programi so se izvajali zaporedoma, ni bilo nobenih vejitev Program razvejimo na osnovi odločitev pogojnega

Prikaži več

Navodila za izdelavo diplomske naloge

Navodila za izdelavo diplomske naloge UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Aljaž Komprej POSODOBITEV IN OPTIMIZACIJA PROGRAMSKEGA DELA KRMILJENJA LINIJE ZA PRIPRAVO AKUMULATORSKIH POSOD Diplomsko delo

Prikaži več

Microsoft Word - EV-2-projekt.doc

Microsoft Word - EV-2-projekt.doc UNIVERZA V LJUBLJANI FAKULTETA ZA ELEKTROTEHNIKO Matjaž Repovž MERILNIK TLAKA Seminarska nalogapri predmetu Elektronska vezja Ljubljana, 2009 Ključne besede: Merilnik Tlaka LPC2136 USB LabView RS232 FT232RL

Prikaži več

II-RIS-Primer Seminarske Naloge Redni-LJ

II-RIS-Primer Seminarske Naloge Redni-LJ UNIVERZA V LJUBLJANI FAKULTETA ZA UPRAVO Študijski program: Visokošolski strokovni program Uprava Prva stopnja (bolonjski) Način študija: redni ČIŠČENJE VOZIL V AVTOPRALNICI Seminarska naloga Predmet:

Prikaži več

PRIPRAVA NA 1. Š. N.: KVADRATNA FUNKCIJA IN KVADRATNA ENAČBA 1. Izračunaj presečišča parabole y=5 x x 8 s koordinatnima osema. R: 2 0, 8, 4,0,,0

PRIPRAVA NA 1. Š. N.: KVADRATNA FUNKCIJA IN KVADRATNA ENAČBA 1. Izračunaj presečišča parabole y=5 x x 8 s koordinatnima osema. R: 2 0, 8, 4,0,,0 PRIPRAVA NA 1. Š. N.: KVADRATNA FUNKCIJA IN KVADRATNA ENAČBA 1. Izračunaj presečišča parabole y=5 x +18 x 8 s koordinatnima osema. R: 0, 8, 4,0,,0 5. Zapiši enačbo kvadratne funkcije f (x )=3 x +1 x+8

Prikaži več

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Matevž Belej AVTOMATIZIRANA HIDRAVLIČNA STISKALNICA ZA ODPADNO EMBALAŽO

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Matevž Belej AVTOMATIZIRANA HIDRAVLIČNA STISKALNICA ZA ODPADNO EMBALAŽO UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Matevž Belej AVTOMATIZIRANA HIDRAVLIČNA STISKALNICA ZA ODPADNO EMBALAŽO Diplomsko delo Maribor, avgust 2016 AVTOMATIZIRANA

Prikaži več

Microsoft PowerPoint _12_15-11_predavanje(1_00)-IR-pdf

Microsoft PowerPoint _12_15-11_predavanje(1_00)-IR-pdf uporaba for zanke i iz korak > 0 oblika zanke: for i iz : korak : ik NE i ik DA stavek1 stavek2 stavekn stavek1 stavek2 stavekn end i i + korak I&: P-XI/1/17 uporaba for zanke i iz korak < 0 oblika zanke:

Prikaži več

Modem in krajevno omrežje Uporabniški priročnik

Modem in krajevno omrežje Uporabniški priročnik Modem in krajevno omrežje Uporabniški priročnik Copyright 2008 Hewlett-Packard Development Company, L.P. Informacije v tem priročniku se lahko spremenijo brez prejšnjega obvestila. Edine garancije za HP-jeve

Prikaži več

Vaje: Matrike 1. Ugani rezultat, nato pa dokaži z indukcijo: (a) (b) [ ] n 1 1 ; n N 0 1 n ; n N Pokaži, da je množica x 0 y 0 x

Vaje: Matrike 1. Ugani rezultat, nato pa dokaži z indukcijo: (a) (b) [ ] n 1 1 ; n N 0 1 n ; n N Pokaži, da je množica x 0 y 0 x Vaje: Matrike 1 Ugani rezultat, nato pa dokaži z indukcijo: (a) (b) [ ] n 1 1 ; n N n 1 1 0 1 ; n N 0 2 Pokaži, da je množica x 0 y 0 x y x + z ; x, y, z R y x z x vektorski podprostor v prostoru matrik

Prikaži več

VHF1-VHF2

VHF1-VHF2 VHF BREZŽIČNI MIKROFONSKI KOMPLET VHF1: 1 CHANNEL VHF2: 2 CHANNELS NAVODILA ZA UPORABO SLO Hvala, ker ste izbrali naš BREZŽIČNI MIKROFONSKI KOMPLET IBIZA SOUND. Za vašo lastno varnost, preberite ta navodila

Prikaži več

No Slide Title

No Slide Title Glavne napake-pomoč KRONOS 1 Diagnostika in dostop do sistema PEČICA NAPAKA NAPAKA PRIKAZANA Z KODO NAPAKE NAPAKA BREZ INDIKACIJE KODE NAPAKE 2 Diagnostika in dostop do sistema Prikaz kode napake Informacije

Prikaži več

docx

docx SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 139 55 62 www.conrad.si NAVODILA ZA UPORABO Full HD akcijska kamera Denver ACT-5040W Kataloška št.: 139 55 62 KAZALO UVOD... 3 SISTEMSKE ZAHTEVE... 3 LASTNOSTI

Prikaži več

Microsoft Word - Dip2U_41.doc

Microsoft Word - Dip2U_41.doc Stanislav Moraus UPORABA PROGRAMABILNIH VEZIJ ZA ZAZNAVO NAPAK V VGRAJENIH KRMILNIH SISTEMIH Diplomsko delo Maribor, Junij 2010 I Diplomsko delo univerzitetnega študijskega programa Uporaba programabilnih

Prikaži več

Diapozitiv 1

Diapozitiv 1 RAČUNALNIŠKA ARHITEKTURA 5 Operandi RA - 5 2018, Škraba, Rozman, FRI Predstavitev informacije - vsebina 5 Operandi - cilji: Razumevanje različnih formatov zapisovanja operandov Abecede (znaki) Števila

Prikaži več

Slide 1

Slide 1 Univerza v Ljubljani Fakulteta za elektrotehniko PROCES PISANJA PROGRAMOV Mitja Nemec Univerza v Ljubljani, Fakulteta za elektrotehniko, Tržaška 25, 1000 Ljubljana, SLOVENIJA e-mail: mitjan@fe.uni-lj.si

Prikaži več

Microsoft Word - Navodila_NSB2_SLO.doc

Microsoft Word - Navodila_NSB2_SLO.doc Borovniško naselje 7 1412 Kisovec Slovenija Tel.: +386(0) 356 72 050 Fax.: +368(0)356 71 119 www.tevel.si Lastno varni napajalnik Tip NSB2/xx (NAVODILA ZA UPORABO) Navodila_NSB2_SLO.doc2/xx Stran 1 od

Prikaži več

Microsoft Word - NAVODILA ZA UPORABO.docx

Microsoft Word - NAVODILA ZA UPORABO.docx NAVODILA ZA UPORABO VODILO CCM-18A/N-E (K02-MODBUS) Hvala ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shranite za prihodnjo rabo. Vsebina

Prikaži več

Microsoft Word - UP_Lekcija04_2014.docx

Microsoft Word - UP_Lekcija04_2014.docx 4. Zanka while Zanke pri programiranju uporabljamo, kadar moramo stavek ali skupino stavkov izvršiti večkrat zaporedoma. Namesto, da iste (ali podobne) stavke pišemo n-krat, jih napišemo samo enkrat in

Prikaži več

Microsoft PowerPoint - MSPO_4_DiagramiVpliva.pptx

Microsoft PowerPoint - MSPO_4_DiagramiVpliva.pptx 8. Diagrami vpliva Odločitveno drevo alternative status quo razširitev gradnja povezovanje izidi 28 30 24 42 16 44 30 34, Univerza v Novi Gorici, Poslovno-tehniška fakulteta 1 Slabosti odločitvenih dreves

Prikaži več

INDUSTRIJA 4.0: PRILOŽNOSTI DIGITALNE PREOBRAZBE PROCESA RAZVOJA BARV IN PREMAZOV TOMAŽ KERN, BENJAMIN URH, MARJAN SENEGAČNIK, EVA KRHAČ

INDUSTRIJA 4.0:  PRILOŽNOSTI DIGITALNE PREOBRAZBE PROCESA RAZVOJA BARV IN PREMAZOV TOMAŽ KERN, BENJAMIN URH, MARJAN SENEGAČNIK, EVA KRHAČ INDUSTRIJA 4.0: PRILOŽNOSTI DIGITALNE PREOBRAZBE PROCESA RAZVOJA BARV IN PREMAZOV TOMAŽ KERN, BENJAMIN URH, MARJAN SENEGAČNIK, EVA KRHAČ AGENDA IZZIV OZADJE RAZISKAVE POSNETEK STANJA ANALIZA STANJA in

Prikaži več

Uradni list RS - 12(71)/2005, Mednarodne pogodbe

Uradni list RS - 12(71)/2005, Mednarodne pogodbe PRILOGA 3 Osnovne značilnosti, ki se sporočajo za usklajevanje 1. Zgradba podatkovne zbirke Podatkovno zbirko sestavljajo zapisi, ločeni po znakovnih parih "pomik na začetek vrstice pomik v novo vrstico"

Prikaži več

Avtomatizirano modeliranje pri celostnem upravljanju z vodnimi viri

Avtomatizirano modeliranje pri celostnem upravljanju z vodnimi viri Univerza v Ljubljani Fakulteta za gradbeništvo in geodezijo 36. Goljevščkov spominski dan Modeliranje kroženja vode in spiranja hranil v porečju reke Pesnice Mateja Škerjanec 1 Tjaša Kanduč 2 David Kocman

Prikaži več

VAU 7.5-3_Kurz_SL_ indd

VAU 7.5-3_Kurz_SL_ indd Navodilo za upravljanje KRATKO NAVODILO Frekvenčni pretvornik VAU 7.5/3 28100241401 11/12 1 Varnostni napotki Opozorilo na udar električnega toka! Smrtna nevarnost! Udar električnega toka utegne povzročiti

Prikaži več