DES11_realno

Velikost: px
Začni prikazovanje s strani:

Download "DES11_realno"

Transkripcija

1 Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Delovanje realnega vezja Omejitve modela vezja 1

2 Model v VHDLu je poenostavljeno realno vezje V modelu imamo logične vrednosti 0 in 1, v vezju pa imamo napetosti 0 = 0V ali nekoliko višja napetost 1 = Vdd (napajanje) ali nekoliko nižja napetost V modelu ne upošteva pojavo v realnih flip-flopih če se vhod spremeni istočasno s fronto ure ne moremo napovedati kaj se bo zgodilo z izhodom dobimo eno ali drugo logično stanje, ne glede na vhod ali preide v metastabilno stanje, ki preide počasi v eno izmed stabilnih stanj 2

3 Statični red Statični red določa pravila za uspešen prenos digitalnih vrednosti med različnimi vezji Povezovanje dig. integriranih vezij v različnih izvedbah (TTL, CMOS, LVCMOS ) Dogovor za potenciale, ki določajo nizko ali visoko stanje 3

4 Prepovedano območje potencialov Kako intepretiramo signal s potencialom Vdd / 2? da se izognemo dvoumni interpretaciji uvedemo prepovedano območje, npr.: logična 0 : 0V V L 2V logična 1 : 3V V H 5V 4

5 Šum na signalnih povezavah Na povezavah v vezju se lahko pojavi šum Šum predstavimo kot dodatno napetost, ki se prišteje ali odšteje od napetosti signala Npr. Un = 0.5V šumne napetosti povzroči CMOS nizko stanje 1V se poveča na 1.5V, kar je še vedno 0 CMOS nizko stanje 2V se poveča na 2.5V, kar je prepovedano območje! 5

6 Rešitev: dodamo šumno mejo Za oddajnik signala (izhod) določimo manjše dovoljeno območje kot za sprejemnik (vhod) razlika je šumna meja, ki dovoljuje določen nivo šuma brez vpliva na kakovost komunikacije Npr. podatki za 3.3V LVCMOS: 6

7 Delovanje flip-flopov Kateri signal se je prej spremenil? Dinamični red izogibanje tekmovanju med signali 7

8 Pravila dinamičnega reda Ali lahko zagotovimo, da bo vezje vedno delovalo? vhod Sinhronizator logika r1 logika r2 logika r3 izhod clk S skrbnim načrtovanjem bo vedno izpolnjen dinamični red ura mora priti do vseh pomnilnih elementov istočasno sinhrono sekvenčno vezje Na asinhronem vhodu ne moremo upoštevati pravil! vhodi se ne spreminjajo po zakonitostih naše ure in ne moremo zagotavljati dinamičnega reda (t S in t H ) 8

9 Vezje za sinhronizacijo Slaba novica: asinhroni arbiter ne obstaja! Poskus rešitve: uporabimo D flip-flop obstaja možnost, da gre v metastabilno stanje čez (nedoločen) čas gre izhod v eno ali drugo stabilno stanje 9

10 Metastabilno stanje V 2 Stable point 0 1 Metastable point lastnost bistabilnih vezij čez čas gre v stabilno stanje nedoločen čas okrevanja verjetnost za metastabilnost eksponentno pada s časom Stable point V 1 10

11 Sinhronizacija z dvema D flip-flopoma metastabilno stanje na izhodu FF1 stanje se stabilizira na izhodu FF2, če je na voljo dovolj časa pri višjih frekvencah ure uporabimo več zaporednih D flip-flopov 11 A Clk A Clk AW AS FF1 AW FF2 AS D Q eksponentno pada P(napake) = P(metastab stanja) x P(ni še stabilno po t w ) Zakasnitev signala je cena za sinhronizacijo, ki se ji ne moremo izogniti! D Q

12 Načrtovanje vmesnikov problem komunikacijskih vmesnikov je sinhronizacija asinhrone signale vzorčimo z višjo frekvenco ure in jih peljemo čez sinhronizacijsko vezje težav z metastabilnostjo ne vidimo na simulaciji! sinhronizacija s FF rx D Q D Q rxs clk možna metastabilna stanja clk rx rxs

13 Sinhronizacija večbitnih signalov Ali bo prikazano vezje delovalo? 4-bitni števec dela z uro clk1, vrednost potrebujemo v vezju, ki preklaplja z uro clk2 counter 4 cnt D Q cnt_w D Q 4 4 cnt_s clk1 clk2 Vsak bit je posebej sinhroniziran Kaj se zgodi ob prehodu števca iz 0111 v 1000? Spremenijo se vsi biti, na izhodu je lahko napačen katerikoli 13

14 Rešitev 1: Grayev števec Binarni števec zamenjamo z Grayevim, ki naenkrat spreminja le en bit v najslabšem primeru bomo prebrali prejšnjo vrednost števca in v naslednjem ciklu branja dobili pravo vrednost 0111 => 1. cikel: 0101, 2. cikel: 0101 (brez napak) 0111 => 1. cikel : 0111, 2. cikel : 0101 (napaka) Takšno vezje se uporablja na naslovne kazalce v pomnilnikih FIFO kazalec za pisanje šteje z uro clk1 Kazalec za branje šteje z uro clk2 Razlika kazalcev pove koliko je pomnilnik poln # 0000 # 0001 # 0011 # 0010 # 0110 # 0111 # 0101 # 0100 # 1100 # 1101 # 1111 # 1110 # 1010 # 1011 # 1001 # 1000 # 0000 # 0001 # 0011 #

15 Rešitev 2: komunikacija z usklajevanjem Usklajevalni protokol (handshaking) določa časovno okno v katerem je mogoč prenos podatka Primer: paralelni vmesnik Centronics 8-bitni asinhroni prenos podatkov za zunanje naprave PC z negativnim impulzom (strobe) označi nov podatek ko naprava zazna strobe, postavi busy in prebere podatek data strobe busy 0.5µs 0.5µs Sinhroniziramo le enobitne kontrolne signale (strobe in busy) 15

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Model vezja Računalniški model in realno vezje Model logičnega negatorja Načini

Prikaži več

DES11_vmesniki

DES11_vmesniki Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki in sekvenčna vezja Zaporedna in vzporedna vodila 1 Vmesniki in vodila

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki Vodila, vzporedni (paralelni) vmesniki Vmesniki in vodila naprava 1

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Zaporedni vmesniki Zaporedni (serijski) vmesniki Zaporedni (serijski) vmesniki

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Programirljivi Digitalni Sistemi Digitalni sistem Digitalni sistemi na integriranem vezju Digitalni sistem

Prikaži več

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD ( 5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (angl. Complex Programmable Logic Device) so manjša

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 4 - AV 4 Linije LTSpice, simulacija elektronskih vezij VIN - LV 1 Rozman,Škraba, FRI LTSpice LTSpice: http://www.linear.com/designtools/software/ https://www.analog.com/en/design-center/design-tools-andcalculators/ltspice-simulator.html

Prikaži več

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvantnih celičnih avtomatov SEMINARSKA NALOGA Univerzitetna

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Osnove jezika VHDL Strukturno načrtovanje in testiranje Struktura vezja s komponentami

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Digitalni sistemi Vgrajeni digitalni sistemi Digitalni sistem: osebni računalnik

Prikaži več

CelotniPraktikum_2011_verZaTisk.pdf

CelotniPraktikum_2011_verZaTisk.pdf Elektrotehniški praktikum Osnove digitalnih vezij Namen vaje Videti, kako delujejo osnovna dvovhodna logi na vezja v obliki integriranih vezij oziroma, kako opravljajo logi ne funkcije Boolove algebre.

Prikaži več

Slide 1

Slide 1 Tehnike programiranja PREDAVANJE 10 Uvod v binarni svet in računalništvo (nadaljevanje) Logične operacije Ponovitev in ilustracija Logične operacije Negacija (eniški komplement) Negiramo vse bite v besedi

Prikaži več

Logični modul LOGO!

Logični modul LOGO! Logični modul LOGO! LOGO! Siemensov univerzalni logični modul LOGO! vsebuje: Krmilno enoto Enoto za prikaz in tipkovnico Napajalno vezje Vmesnik za spominski modul in PC kabel Funkcije, pripravljene za

Prikaži več

Microsoft PowerPoint - ORS-1.ppt

Microsoft PowerPoint - ORS-1.ppt ORGANIZACIJA RAČUNALNIŠKIH SISTEMOV Lastnosti integriranih digitalnih vezij ORS 2013, Igor Škraba, FRI Von Neumannov model računalnika (= matematični model in dejanski računalnik) ne določa tehnologije,

Prikaži več

Microsoft PowerPoint - NDES_8_USB_LIN.ppt

Microsoft PowerPoint - NDES_8_USB_LIN.ppt Laboratorij za na rtovanje integriranih vezij Fakulteta za elektrotehniko Univerza v Ljubljani ndrej Trost artovanje digitalnih el. sistemov Komunikacijski vmesniki UB in LI http://lniv.fe.uni-lj.si/ndes.html

Prikaži več

Procesorski sistemi v telekomunikacijah

Procesorski sistemi v telekomunikacijah Procesorski sistemi v telekomunikacijah Komunikacija v procesorskih sistemih (c) Arpad Bűrmen, 2010-2012 Sinhrona komunikacija Podatkovne linije + sinhronizacijski signal Sihnronizacijski signal določa

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 5 - LV 1 Meritve dolžine in karakteristične impedance linije VIN - LV 1 Rozman,Škraba, FRI Model linije Rs Z 0, Vs u i u l R L V S - Napetost izvora [V] R S -

Prikaži več

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funkciji izbiralnika. Tisti od 2 n izhodov y 0,.., y 2

Prikaži več

REALIZACIJA ELEKTRONSKIH SKLOPOV

REALIZACIJA ELEKTRONSKIH SKLOPOV Načrtovanje zaemc = elektronike 2 1 Katedra za elektroniko 2 Čemu? 3 Kdo? Katedra za elektroniko 4 Izziv: DC/DC stikalni napajalnik navzdol U vhod Vhodno sito Krmilno integrirano vezje NMOSFET NMOSFET

Prikaži več

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k 10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, ki ga sprejme antena in dodatni šum T S radijskega sprejemnika.

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno-izhodne naprave naprave 1 Uvod VIN - 1 2018, Igor Škraba, FRI Vsebina 1 Uvod Signal električni signal Zvezni signal Diskretni signal Digitalni signal Lastnosti prenosnih medijev Slabljenje Pasovna

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 11. junij 2014 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

VHF1-VHF2

VHF1-VHF2 VHF BREZŽIČNI MIKROFONSKI KOMPLET VHF1: 1 CHANNEL VHF2: 2 CHANNELS NAVODILA ZA UPORABO SLO Hvala, ker ste izbrali naš BREZŽIČNI MIKROFONSKI KOMPLET IBIZA SOUND. Za vašo lastno varnost, preberite ta navodila

Prikaži več

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE ELEKTRONIKA ŠTUDIJ ELEKTRONIKE Umetni nos, Laboratorij za mikroelektroniko, FE Odprtokodni instrument, Red Pitaya, Ljubljana Senzorji krvnega tlaka, Hyb, Šentjernej Elaphe, elektronika omogoča električno

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Mario Trifković Programljivi 6 Timer Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, junij 2009 Mario Trifković Programljivi 6 Timer 2 1.

Prikaži več

Microsoft Word - Avditorne.docx

Microsoft Word - Avditorne.docx 1. Naloga Delovanje oscilatorja je odvisno od kapacitivnosti kondenzatorja C. Dopustno območje izhodnih frekvenc je podano z dopustnim območjem kapacitivnosti C od 1,35 do 1,61 nf. Uporabljen je kondenzator

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Jernej Plankar IR vmesnik za prenos zvoka Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, avgust 2011 Jernej Plankar IR prenos zvoka 2 1 UVOD

Prikaži več

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, 2013 5.marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zaporedno ali b) vzporedno Določi nadomestno upornost

Prikaži več

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajanje prekinitvene rutine Dogodek GLAVNI PROGRAM (MAIN-OB1)

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 12. junij 2013 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

ORA 1-3

ORA 1-3 OSNOVE RAČUNALNIŠKE ARHITEKTURE II 9 Glavni pomnilnik ORA 2-9 Igor Škraba, FRI Glavni pomnilnik in predpomnilnik Glavni pomnilnik je prostor iz katerega CPE bere ukaze in operande in vanj shranjuje rezultate.

Prikaži več

VIN Lab 1

VIN Lab 1 Vhodno izhodne naprave Laboratorijska vaja 1 - AV 1 Signali, OE, Linije VIN - LV 1 Rozman,Škraba, FRI Laboratorijske vaje VIN Ocena iz vaj je sestavljena iz ocene dveh kolokvijev (50% ocene) in iz poročil

Prikaži več

Šolski center celje

Šolski center celje ŠOLSKI CENTER CELJE Gimnazija Lava DVORIŠČNA VRATA NA DALJINSKO UPRAVLJANJE MENTOR: Matjaž Cizej, univ. dipl. inž. AVTOR: Roman Leban, L-4.F Celje, marec 2010 KAZALO VSEBINE 1 POVZETEK /SUMMARY... 1 2

Prikaži več

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s 1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni smeri obnaša kot nelinearen kondenzator, ki mu z višanjem

Prikaži več

innbox_f60_navodila.indd

innbox_f60_navodila.indd Osnovna navodila Komunikacijski prehod Innbox F60 SFP AC Varnostna opozorila Pri uporabi opreme upoštevajte naslednja opozorila in varnostne ukrepe. Da bi v največji meri izkoristili najnovejšo tehnologijo

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec Pomagala za hitrejšo/boljšo konvergenco Modifikacija vezja s prevodnostimi Med vsa vozlišča in maso se dodajo upori Velikost uporov določa

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec 2.TRAN analiza (Analiza v časovnem prostoru) Iskanje odziva nelinearnega dinamičnega vezja v časovnem prostoru Prehodni pojavi Stacionarno

Prikaži več

Diapozitiv 1

Diapozitiv 1 RAČUNALNIŠKA ARHITEKTURA 8 Pomnilniške tehnologije RA - 8 2018, Škraba, Rozman, FRI Pomnilniške tehnologije - cilji 8 Pomnilniške tehnologije - cilji: Osnovno razumevanje pojmov: Hitrost in način dostopa

Prikaži več

Datum in kraj

Datum in kraj Ljubljana, 5. 4. 2017 Katalog znanj in vzorci nalog za izbirni izpit za vpis na magistrski študij Pedagoško računalništvo in informatika 2017/2018 0 KATALOG ZNANJ ZA IZBIRNI IZPIT ZA VPIS NA MAGISTRSKI

Prikaži več

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila Programsko orodje LabVIEW za kreiranje, zajem in obdelavo signalov (statične in dinamične karakteristike hidravličnih proporcionalnih ventilov) Marko Šimic Telefon: +386 1 4771 727 e-mail: marko.simic@fs.uni-lj.si

Prikaži več

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 II Diplomsko delo visokošolskega strokovnega študijskega programa DETEKTOR FAZNE NAPAKE OPTIČNEGA ENKODERJA

Prikaži več

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Trditev: idealni enosmerni tokovni vir obratuje z močjo

Prikaži več

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 19 14 56 www.conrad.si NAVODILA ZA UPORABO Univerzalni širokopasovni predojačevalnik Kemo B073, komplet za sestavljanje Kataloška št.: 19 14 56 Kazalo Slike...

Prikaži več

Laboratorij za strojni vid, Fakulteta za elektrotehniko, Univerza v Ljubljani Komunikacije v Avtomatiki Vaje, Ura 8 Matej Kristan

Laboratorij za strojni vid, Fakulteta za elektrotehniko, Univerza v Ljubljani Komunikacije v Avtomatiki Vaje, Ura 8 Matej Kristan Laboratorij za strojni vid, Fakulteta za elektrotehniko, Univerza v Ljubljani Komunikacije v Avtomatiki Vaje, Ura 8 Matej Kristan Vsebina današnjih vaj: ARP, NAT, ICMP 1. ARP

Prikaži več

Področje uporabe

Področje uporabe Regulator Področja uporabe Regulator DIALOG EQ je namenjen predvsem vodenju in nadziranju sistemov ogrevanja in hlajenja, lahko pa se uporabi tudi na različnih področjih avtomatizacije in inteligentnih

Prikaži več

an-01-Stikalo_za_luc_za_na_stopnisce_Zamel_ASP-01.docx

an-01-Stikalo_za_luc_za_na_stopnisce_Zamel_ASP-01.docx SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 146 29 41 www.conrad.si NAVODILA ZA UPORABO Časovno stikalo za luč za na stopnišče Zamel ASP-01 Kataloška št.: 146 29 41 KAZALO OPIS NAPRAVE... 3 LASTNOSTI...

Prikaži več

OSNOVE RAČUNALNIŠKE ARHITEKTURE II

OSNOVE RAČUNALNIŠKE ARHITEKTURE II VHODNO-IZHODNE NAPRAVE 4 Povezovalni standardi VIN - 4 2018, Igor Škraba, FRI 4 Povezovalni standardi 4.1 EIA/TIA-232 (RS-232) 4.2 EIA/TIA-485 (RS-485) 4.3 USB - Universal serial bus 4.4 PCI Express -

Prikaži več

Microsoft Word - avd_vaje_ars1_1.doc

Microsoft Word - avd_vaje_ars1_1.doc ARS I Avditorne vaje Pri nekem programu je potrebno izvršiti N=1620 ukazov. Pogostost in trajanje posameznih vrst ukazov računalnika sta naslednja: Vrsta ukaza Štev. urinih period Pogostost Prenosi podatkov

Prikaži več

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Žiga Mlakar PROGRAMIRLJIVE STRUKTURE FPGA NIZKE KOMPLEKSNOSTI Diplomsko delo Maribor, september 2014 PROGRAMIRLJIVE STRUKTURE

Prikaži več

Poskusi s kondenzatorji

Poskusi s kondenzatorji Poskusi s kondenzatorji Samo Lasič, Fakulteta za Matematiko in Fiziko, Oddelek za fiziko, Ljubljana Povzetek Opisani so nekateri poskusi s kondenzatorji, ki smo jih izvedli z merilnim vmesnikom LabPro.

Prikaži več

NAVODILO ZA UPORABO SISTEMA BIOMETRICS Laboratorijski sistem zajema podatkov LS 900 Kratka navodila za rokovanje z instrumentom. Pred uporabo dobro pr

NAVODILO ZA UPORABO SISTEMA BIOMETRICS Laboratorijski sistem zajema podatkov LS 900 Kratka navodila za rokovanje z instrumentom. Pred uporabo dobro pr NAVODILO ZA UPORABO SISTEMA BIOMETRICS Laboratorijski sistem zajema podatkov LS 900 Kratka navodila za rokovanje z instrumentom. Pred uporabo dobro preberi tudi originalna navodila, posebej za uporabo

Prikaži več

Microsoft Word - NAVODILA ZA UPORABO.docx

Microsoft Word - NAVODILA ZA UPORABO.docx NAVODILA ZA UPORABO VODILO CCM-18A/N-E (K02-MODBUS) Hvala ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shranite za prihodnjo rabo. Vsebina

Prikaži več

Slide 1

Slide 1 Univerza v Ljubljani Fakulteta za elektrotehniko PROCES PISANJA PROGRAMOV Mitja Nemec Univerza v Ljubljani, Fakulteta za elektrotehniko, Tržaška 25, 1000 Ljubljana, SLOVENIJA e-mail: mitjan@fe.uni-lj.si

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA MONTAŽO IN UPORABO Št. art. : 750963 www.conrad.si KOMPLET ZA VIDEO NADZOR Z BARVNO KAMERO Št. artikla: 750963 Ta navodila za uporabo so priložena izdelku, kateremu so namenjena. Vsebujejo

Prikaži več

seminarska_naloga_za_ev

seminarska_naloga_za_ev Univerza v Ljubljani Fakulteta za elektrotehniko Matevž Seliger 8-kanalni Lightshow Seminarska naloga pri predmetu: V Horjulu, junij 2008 Kazalo: 1 Uvod... 3 1.1 Namen in uporaba izdelka... 3 2 Delovanje...

Prikaži več

Microsoft PowerPoint - Sirikt-SK-FV.ppt

Microsoft PowerPoint - Sirikt-SK-FV.ppt E-učbeniki za izbrane naravoslovno-tehniške predmete E-books for selected science and technical subjects Slavko KOCIJANČIČ Univerza v Ljubljani, Pedagoška fakulteta slavko.kocijancic@pef.uni-lj.si Franc

Prikaži več

Vgrajeni sistemi Uvod & ponovitev C

Vgrajeni sistemi Uvod & ponovitev C Analogno-digitalna pretvorba Vgrajeni sistemi 2015/16 Rok Češnovar STM32F4 in ADC imamo 3 ADC naprave (ADC1, ADC2, ADC3) vsaka naprava ima 16 vhodov 8 vhodov je vezanih na vse 3 naprave 8 vhodov je vezanih

Prikaži več

untitled

untitled UDK621.3:(53+54+621+66), ISSN0352-9045 Informacije MIDEM 40(2010)1, Ljubljana NAPAJALNI SISTEM BATERIJSKO PODPRTE RFID ZNAČKE 1 Kosta Kovačič, 2 Anton Pleteršek 1 IDS d.o.o. Ljubljana, Slovenia 2 University

Prikaži več

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah O opozorilih OPOZORILO: OPOZORILO označuje možnost poškodb lastnine, telesnih poškodb ali smrti. Dell Vostro 430 List s tehničnimi informacijami o nastavitvi in funkcijah Pogled s sprednje in zadnje strani

Prikaži več

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod: 1-fazni 230VAC; 4 šuko vtičnica preko UPS-a; 2 šuko

Prikaži več

Microsoft Word - vaje2_ora.doc

Microsoft Word - vaje2_ora.doc II UKAZI 1. Napišite zaporedje ukazov, ki vrednost enobajtne spremenljivke STEV1 prepiše v enobajtno spremenljivko STEV2. Nalogo rešite z neposrednim naslavljanjem (zaporedje lahko vsebuje le 2 ukaza v

Prikaži več

N

N Državni izpitni center *N19141132* 9. razred FIZIKA Ponedeljek, 13. maj 2019 NAVODILA ZA VREDNOTENJE NACIONALNO PREVERJANJE ZNANJA v 9. razredu Državni izpitni center Vse pravice pridržane. 2 N191-411-3-2

Prikaži več

Base NET.cdr

Base NET.cdr Rešitev fiksnega radijskega odčitavanja Delovanje BaseNet je način odčitavanja porabe vode, toplote, elektrike, plina in delilnikov toplote v fiksnem radijskem omrežju. Merilnike v Sensus Base sistemu

Prikaži več

Sistemi Daljinskega Vodenja Vaja 3 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubl

Sistemi Daljinskega Vodenja Vaja 3 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubl Sistemi Daljinskega Vodenja Vaja 3 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani matej.kristan@fe.uni-lj.si Česa smo se naučili

Prikaži več

Installation manuals

Installation manuals /7 [6.8.2] =... ID66F4 Upoštevne enote EWAQ6BAVP EWAQ8BAVP EWYQ6BAVP EWYQ8BAVP Opombe (*) EWYQ* (*2) EWAQ* 4P47868- - 27.2 2/7 Uporab. nastavitve Prednastavljene vred. Temp. prostora 7.4.. Udobno (ogrevanje)

Prikaži več

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni RAM stroj Nataša Naglič 4. junij 2009 1 RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni trak, pomnilnik ter program. Bralni trak- zaporedje

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : ržavni izpitni center *M15178112* SPOMLNSKI IZPITNI ROK Izpitna pola 2 Četrtek, 4. junij 2015 / 90 minut ovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali

Prikaži več

VPRAŠANJA ZA USTNI IZPIT PRI PREDMETU OSNOVE ELEKTROTEHNIKE II PREDAVATELJ PROF. DR. DEJAN KRIŽAJ Vprašanja so v osnovi sestavljena iz naslovov poglav

VPRAŠANJA ZA USTNI IZPIT PRI PREDMETU OSNOVE ELEKTROTEHNIKE II PREDAVATELJ PROF. DR. DEJAN KRIŽAJ Vprašanja so v osnovi sestavljena iz naslovov poglav VPRAŠANJA ZA USTNI IZPIT PRI PREDMETU OSNOVE ELEKTROTEHNIKE II PREDAVATELJ PROF. DR. DEJAN KRIŽAJ Vprašanja so v osnovi sestavljena iz naslovov poglavij v učbeniku Magnetika in skripti Izmenični signali.

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA NAMESTITEV IN UPORABO Št. izd. : 122383 www.conrad.si ROČNI OSCILOSKOP VELLEMAN HPS140 Št. izdelka: 122383 1 KAZALO 1 MED UPORABO... 3 2 LASTNOSTI IN TEHNIČNI PODATKI... 3 3 OPIS SPREDNJE

Prikaži več

Microsoft PowerPoint - ads

Microsoft PowerPoint - ads Novosti pri analogni video-nadzorni opremi Junij 2012 1. Dnevno/nočna kamera ADS-CAM-K2DNC 2. Snemalniki ADS-LIGHT: ADS-0404DH ADS-0804DH ADS-1604DH ADS-0404HED ADS-CAM-K2DNC Dnevno / nočna kamera z IR

Prikaži več

Sistemi Daljinskega Vodenja Vaja 1 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani

Sistemi Daljinskega Vodenja Vaja 1 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani Sistemi Daljinskega Vodenja Vaja 1 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani matej.kristan@fe.uni-lj.si Sistemi Daljinskega Vodenja Ime: Matej Kristan Docent

Prikaži več

17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-p

17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-p 17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-prepustna in pasovno-zaporna. Frekvenčna sita gradimo

Prikaži več

Slide 1

Slide 1 Zaščina ehnika in avomaizacija Diskreni Fourierev ransform Digialna zaščia Razvoj numeričnih meod Upoševanje višjih harmonskih komponen, šuma, frekvence odbiih valov, Za pravilno obdelavo signalov je ključna

Prikaži več

Microsoft Word - Pravila - AJKTM 2016.docx

Microsoft Word - Pravila - AJKTM 2016.docx PRAVILA ALI JE KAJ TRDEN MOST 2016 3. maj 5. maj 2016 10. 4. 2016 Maribor, Slovenija 1 Osnove o tekmovanju 1.1 Ekipa Ekipa sestoji iz treh članov, ki so se po predhodnem postopku prijavili na tekmovanje

Prikaži več

NAVODILA ZA UPORABO K01-WIFI Hvala, ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shr

NAVODILA ZA UPORABO K01-WIFI Hvala, ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shr NAVODILA ZA UPORABO Hvala, ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta in jih shranite za prihodnjo rabo Vsebina 1. Pregled 2. Sistem 3. Prednosti 4. Upravljanje

Prikaži več

1

1 Univerza v Ljubljani Fakulteta za elektrotehniko Žiga Četrtič Daljinski multimedijski upravljalnik računalnika Seminarska naloga pri predmetu Elektronska vezja Podgora pri Dolskem, oktober 2010 1 Uvod

Prikaži več

Microsoft PowerPoint - MSPO_4_DiagramiVpliva.pptx

Microsoft PowerPoint - MSPO_4_DiagramiVpliva.pptx 8. Diagrami vpliva Odločitveno drevo alternative status quo razširitev gradnja povezovanje izidi 28 30 24 42 16 44 30 34, Univerza v Novi Gorici, Poslovno-tehniška fakulteta 1 Slabosti odločitvenih dreves

Prikaži več

Event name or presentation title

Event name or  presentation title Marko Škufca Vodja programa BI, ADD d.o.o. Gorazd Cah Specialist področja Služba za informatiko, DARS d.d. Izziv Rešitev Rezultati... PROCESI + TEHNOLOGIJA + LJUDJE Poslanstvo: s sodobnimi pristopi in

Prikaži več

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampreht, Luka Stepančič, Igor Vizec, Boštjan Žankar Povzetek

Prikaži več

(Microsoft PowerPoint - MBTLO13_Opti\350ni oja\350evalniki [Compatibility Mode])

(Microsoft PowerPoint - MBTLO13_Opti\350ni oja\350evalniki [Compatibility Mode]) Optični ojačevalniki Diskretni in porazdeljeni ojačevalniki G Mobitel d.d., izobraževanje 8. 5. 010, predavanje 11 (nm) Prof. dr. Jožko Budin 1 Vsebina 1. Mehanizmi ojačevanja. Diskretni in porazdeljeni

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA NAMESTITEV IN UPORABO Št. izd. : 343712 www.conrad.si RADIO BUDILKA SANGEAN RCR-3 Št. izdelka: 343712 1 KAZALO 1 POMEMBNI VARNOSTNI NAPOTKI...3 2 UPRAVLJALNI ELEMENTI...4 3 LCD ZASLON...6

Prikaži več

ISOFT , računalniški inženiring

ISOFT , računalniški inženiring ISOFT, računalniški inženiring Marko Kastelic s.p. Sad 2, 1296 Šentvid pri stični Spletna stran podjetja:http://www.isoft.si podjetja ISOFT Spletna stran sistema sledenja vozil track.si: http://www.track.si

Prikaži več

Organizacija računalnikov

Organizacija računalnikov ORGANIZACIJA RAČUNALNIKOV 6 Prenosne poti in vrste prenosov OR 6 Povzetki predavanj 1 2018, Rozman, FRI 6. Prenosne poti in vrste prenosov Namen in cilji 6. poglavja: Večno vprašanje: kako prenesti več

Prikaži več

Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0

Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0 Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0 Hz Neomejeno 49,0 Hz-51,0 Hz Neomejeno 51,0 Hz-51,5

Prikaži več

Microsoft Word - Dokument1

Microsoft Word - Dokument1 Specifikacije Leto modela LETO MODELA 2019 Dimenzije in teža ŠIRINA STOJALA Pribl. 72,8 cm VELIKOST ZASLONA (PALCI, PO DIAGONALI) 50 palcev (49,5 palca) VELIKOST ZASLONA (CENTIMETRI, PO DIAGONALI) 125,7

Prikaži več

Načrt vzpostavitve in komunikacije v omrežju ARON Andrej Lajovic S57LN, Dragan Selan S55Z, Aleš Košatko S59MA, Primož Kanič S56WKC 13. november

Načrt vzpostavitve in komunikacije v omrežju ARON Andrej Lajovic S57LN, Dragan Selan S55Z, Aleš Košatko S59MA, Primož Kanič S56WKC 13. november Načrt vzpostavitve in komunikacije v omrežju ARON Andrej Lajovic S57LN, Dragan Selan S55Z, Aleš Košatko S59MA, Primož Kanič S56WKC 13. november 2009 1 Osnovna struktura omrežja Omrežje ARON ima drevesno

Prikaži več

Microsoft Word - EV,N_Poglavje o modulacijah.doc

Microsoft Word - EV,N_Poglavje o  modulacijah.doc E,VN- Elektronska vezja, naprave 8 MODULACIJSKE TEHNIKE Modulacijske tehnike 8.1 SPLOŠNO O MODULACIJAH Modulacija je postopek, ki omogoča zapis koristnega signala na nosilni signal. Za nosilni signal je

Prikaži več

KRITERIJI ZA PREVERJANJE IN OCENJEVANJE ZNANJA – SLOVENŠČINA

KRITERIJI ZA PREVERJANJE IN OCENJEVANJE ZNANJA – SLOVENŠČINA KRITERIJI ZA PREVERJANJE IN OCENJEVANJE ZNANJA SLOVENŠČINA Obvezni učbeniki Berilo Branja 1, 2, 3, 4 Na pragu besedila 1, 2, 3, 4 (učbenik in delovni zvezek); Če dijak pri pouku nima ustreznega učbenika,

Prikaži več

Microsoft PowerPoint - CIGER - SK 3-15 Izkusnje nadzora distribucijskih transformatorjev s pomo... [Read-Only]

Microsoft PowerPoint - CIGER - SK 3-15 Izkusnje nadzora distribucijskih transformatorjev s pomo... [Read-Only] CIRED ŠK 3-15 IZKUŠNJE NADZORA DISTRIBUCIJSKIH TRANSFORMATORJEV S POMOČJO ŠTEVCEV ELEKTRIČNE ENERGIJE ŽIGA HRIBAR 1, BOŠTJAN FABJAN 2, TIM GRADNIK 3, BOŠTJAN PODHRAŠKI 4 1 Elektro novi sistemi. d.o.o.,

Prikaži več

DNH4 Dozirna naprava za kemikalije Voda.Dezinfekcija.Higiena. PPV2013

DNH4 Dozirna naprava za kemikalije Voda.Dezinfekcija.Higiena. PPV2013 DNH4 Dozirna naprava za kemikalije Voda.Dezinfekcija.Higiena. PPV2013 PRIPRAVA VODE JE LAHKO TEŽKA NALOGA. DOVOLITEM, DA VAM POMAGAMO. Priprava in obdelava vode je lahko težka in kompleksna naloga. Znanje,

Prikaži več

Microsoft PowerPoint - IPPU-V2.ppt

Microsoft PowerPoint - IPPU-V2.ppt Informatizacija poslovnih procesov v upravi VAJA 2 Procesni pogled Diagram aktivnosti IPPU vaja 2; stran: 1 Fakulteta za upravo, 2006/07 Procesni pogled Je osnova za razvoj programov Prikazuje algoritme

Prikaži več

Gradbeništvo kot Industrija 4.0

Gradbeništvo kot Industrija 4.0 Povzetek: Kot vse druge panoge se mora gradbeništvo modernizirati Industrija 4.0 koncept, ki daje modernizaciji okvir, motivacijo, zagon Industrija 4.0 je stapljanje fizičnega in digitalnega sveta Gradbeništvo

Prikaži več

DKMPT

DKMPT Tračnice, na katere so moduli fizično nameščeni. Napajalniki (PS), ki zagotavljajo ustrezno enosmerno napajalno napetost za module. Centralne procesne enote (CPU Central Processing Unit). Signalni moduli

Prikaži več

Microsoft Word - Dokument1

Microsoft Word - Dokument1 Specifikacije Leto modela LETO MODELA 2019 Dimenzije in teža ŠIRINA STOJALA Pribl. 71,9 cm VELIKOST ZASLONA (PALCI, PO DIAGONALI) 49 palcev (48,5 palca) VELIKOST ZASLONA (CENTIMETRI, PO DIAGONALI) 123,2

Prikaži več

Microsoft Word - Diploma

Microsoft Word - Diploma Gregor Pihler NAČRTOVANJE IN IZVEDBA BREZŽIČNO VODENEGA MODELA ČOLNA ZA PREVOZ VAB PRI RIBOLOVU Diplomsko delo Maribor, marec 2011 Načrtovanje in izvedba brezžično vodenega modela čolna za prevoz vab pri

Prikaži več

Microsoft Word - Dokument1

Microsoft Word - Dokument1 Specifikacije Leto modela LETO MODELA 2019 Dimenzije in teža ŠIRINA STOJALA Pribl. 100,2 cm VELIKOST ZASLONA (PALCI, PO DIAGONALI) 65 palcev (64,5 palca) VELIKOST ZASLONA (CENTIMETRI, PO DIAGONALI) 163,9

Prikaži več

Microsoft Word - Dokument2

Microsoft Word - Dokument2 Specifikacije Leto modela LETO MODELA 2018 Dimenzije in teža VELIKOST ZASLONA (PALCI, PO DIAGONALI) 50 palcev (49,5 palca) VELIKOST ZASLONA (CENTIMETRI, PO DIAGONALI) 125,7 cm DIMENZIJE TELEVIZORJA BREZ

Prikaži več

KRMILNA OMARICA KO-0

KRMILNA OMARICA KO-0 KOTLOVSKA REGULACIJA Z ENIM OGREVALNIM KROGOM Siop Elektronika d.o.o., Dobro Polje 11b, 4243 Brezje, tel.: +386 4 53 09 150, fax: +386 4 53 09 151, gsm:+386 41 630 089 e-mail: info@siopelektronika.si,

Prikaži več

PRAVILA 2019 World Wide Digi DX tekmovanje Pričetek: Sobota, 31. avgust UTC Konec: Nedelja, 1. september 11:59 UTC I. NAMEN: Vzpostavitev čim ve

PRAVILA 2019 World Wide Digi DX tekmovanje Pričetek: Sobota, 31. avgust UTC Konec: Nedelja, 1. september 11:59 UTC I. NAMEN: Vzpostavitev čim ve PRAVILA 2019 World Wide Digi DX tekmovanje Pričetek: Sobota, 31. avgust 12.00 UTC Konec: Nedelja, 1. september 11:59 UTC I. NAMEN: Vzpostavitev čim več zvez med radioamaterji s čim več Maidenhead lokatorji

Prikaži več