Delavnica Načrtovanje digitalnih vezij

Velikost: px
Začni prikazovanje s strani:

Download "Delavnica Načrtovanje digitalnih vezij"

Transkripcija

1 Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Zaporedni vmesniki Zaporedni (serijski) vmesniki

2 Zaporedni (serijski) vmesniki Najprimernejši za komunikacijo na daljše razdalje Asinhroni vmesniki pošiljajo le podatke, uro mora sprejemnik rekonstruirati Sinhroni vmesniki na vodilu so podatki in ura

3 Zaporedni prenos podatkov pomikalni registri PISO parallel in serial out SIPO serial in parallel out Sinhroni - ura je del podatkovnega vodila Asinhroni - ura je kodirana med podatki prenosna ura ima lahko drugačno frekvenco od sistemske ure v sprejemniku in oddajniku, zato potrebujemo sinhronizacijo!

4 Delovanje flip-flopa D Dinamični red! postavitveni čas časovna rezerva

5 Delovanje pomikalnega registra funkcionalna simulacija (brez upoštevanja zakasnitev)

6 Delovanje pomikalnega registra simulacija z zakasnitvami signalov izhod FD je zakasnjen za fronto ure propagacijska zakasnitev Q1-D2

7 Delovanje pomikalnega registra Kaj če FD ne dobita enake ure (propagacija do FD1,2)? drugi FD s proži prezgodaj Vezje ne deluje kot 2-bitni pomikalni register!

8 Rešitev za distribucijo ure 1. Izenačitev zakasnitve ure znotraj čipa drevesna struktura ojačevalnikov (H-tree) uro povezujemo prek globalnih ojač. (global buffer) 2. Zamik ure: PLL, DLL fazno sklenjene zanke (Phase Locked Loop) in zakasnilne zanke (Delay Locked Loop) uporabno za visoke frekvence 3. Menjava aktivne fronte ure npr. izhodni FD dela ob padajoči fronti ure, vhodni FD vzorči ob naraščajoči fronti ure primerno za počasne serijske vmesnike

9 Zaporedna pretvorba podatkov v vzporedno Pretvorba s pomikalnim registrom (SIPO) zaporedno vežemo toliko DFF, kolikor je dolg podatkivni paket paziti moramo na zaporedje prvi poslani bit je MSB ali LSB Npr. 4-bitni pomikalni register, prvi bit je LSB podatki se pomikajo v desno, proti LSB p: process (CLOCK) begin if rising_edge(clock) and ENABLE= 1 then sr <= DATA & sr(3 downto 1); end if; end process;

10 Vzporedna zaporedna pretvorba (oddajnik) Zaporedni oddajnik s pomikalnim registrom (PISO) p: process (CLOCK) begin if rising_edge(clock) then if LOAD= 1 then sr <= d; else sr <= 0 & sr(3 downto 1); end if; end if; end process; DATA <= sr(0);

11 Pretvorba z izbiralnikom Zaporedno vzporedno pretvorbo lahko naredimo z registrom in izbiralnikom števec bitov (bit_cnt) določa kateri podatek gre na izhod

12 Usklajevanje zaporedne komunikacije nadrejena (master) kontrolni signali podrejena (slave) I/F podatki I/F Usklajevanje določa začetek in konec prenosa, status Načini usklajevanja: z dodatnimi signali na vodilu, npr. load (start), select s protokolom, ki določa kaj prenašamo poleg podatkov npr. okvir: start, naslov, kontrolno vsoto, stop

13 Lastnosti zaporednih vmesnikov Bitna hitrost = frekvenca s katero se prenašajo posamezni biti, določa periodo za posamezni bit (T= f bit ) Serijski okvir (frame) podatkovnim bitom dodamo pred prenosom kontrolne bite vsebuje start, kontrolno vsoto ali pariteto, stop okvir omogoča usklajevanje sprejemnika in oddajnika in detekcijo ali odpravljanje napak pri prenosu hitrost prenosa podatkov (podatkovni pretok) je zaradi okvirja manjša od bitne hitrosti Prenos poteka po povezavah z običajnimi logičnimi nivoji (npr. na tiskanem vezju) ali diferencialnih povezavah (za večje razdalje ali hitrosti prenosa)

14 Primer serijskega protokola Protokol določa vrstni red podatkovnih in kontrolnih bitov Asinhroni serijski vmesnik (RS232) ali sinhroni vmesnik (PS/2) podatkovna povezava je na '1', kadar se nič ne prenaša začetek prenosa (start) je '0', nato sledijo podatki na koncu podatkov je kontrolna vsota (parity), ki ji sledi '1' (stop) Npr. vmesnik PS/2 pošlje najprej start ('0'), nato pa podatkovne bite od najnižjega (LSB) proti najvišjemu (MSB)

15 Sinhronizacija sprejetih podatkov Digitalni sistem običajno dela s svojo uro potrebujemo sinhronizacijo podatkov, ki se pomikajo z uro, ki jo določa hitrost prenosa Sinhronizacija z medpomnilniki uporabimo pomnilnik FIFO (First-In First-Out) z dvemi vrati, na eni strani vpisuje podatke sprejemnik, na drugi jih bere sistem Sinhronizacija ob vzorčenju potrebujemo vsaj 2x višjo frekvenco kot je hitrost prenosa vzorčimo uro in podatke ter detektiramo prehode ure za določanje trenutka zajemanja podatkov

16 Sinhroni zaporedni vmesnik - SPI Serial Peripheral Interface master slave ura (SCLK) podatkovni izhod (MOSI) podatkovni vhod (MISO) izbira (SS0, SS1 ) časovni potek signalov:

17 Sinhroni zaporedni vmesnik - SPI oddajnik pomakne podatkek na vodilo in hkrati sprejme podatkovne bite iz podrejene enote Uporaba: MMC, SD kartice, senzorji, nalaganje mikrokrmilnikov prenos podatkov od MSB proti LSB več podrejenih enot lahko vežemo vzporedno ali v verigo

18 Sinhroni zaporedni vmesnik - JTAG vodilo sestavlja ura (TCK), kontrolni izhod (TMS), podatkovni izhod (TDO) in vhod (TDI) v nadrejeno enoto JTAG je zaporedni vmesnik po IEEE standardu (1149.1) za periferno testiranje vezij proizvodno testiranje povezav med dvema integriranima vezjema preko JTAG odklopimo priključke od notranjosti vezja, nastavljamo stanja na priključkih in beremo stanja na drugem vezju

19 Testiranje in programiranje vezij z JTAG zaporedni prenos ukazov in podatkov več vezij povežemo v JTAG verigo Poleg ukazov po standardu še dodatni ukazi za programiranje vezij CPLD ali FPGA Programiranje in debagiranje mikrokrmilnikov preko JTAG ustavitev izvajanja ukazov in dostop do vseh registrov preko vmesnika JTAG

20 Sinhroni zaporedni vmesnik I2C Vodilo sestavlja ura (SCL) in podatkovna linija (SDA) I2C serijski EEPROM, D/A in A/D pretvorniki, senzorji Omogoča povezavo več enot na vodilo, pasivna logična '1' Okvir: start, naslov, r/w, potrdi, start, podatek, potrdi

21 Načrtovanje vezja vmesnika I2C z uporabo hitre ure (clk) zaznamo fronte signalov SDI, SCK ob SCK=0 se SDI nastavi (ck_fall) ob SCK=1 se SDI ne spreminja branje SDI (ck_rise) START: SCK=1 in SDI gre na 0 (di_fall)

22 Sinhrono zaznavanje fronte signala 3x vzorčenje s pomikalnimi registri eno vzorčenje za sinhronizacijo (odpravo metastabilnosti) in dve za zaznavo fronte if rising_edge(clk) then ck <= sck & ck(2 downto 1); end if; ck_rise <= '1' when ck(1)='1' and ck(0)='0' else '0'; ck_fall <= '1' when ck(1)='0' and ck(0)='1' else '0';

23 Zajem podatkov s sekvenčnim strojem di_rise=1 and ck=1 stb <= 1 idle ck=1 and di_fall=1 i <= 8 Prenos 8-bitnega naslova in podatka wait adr(i)<=di i <= i -1 geta ck_fall=1 i=0 ck_fall=1 ackd sdo=0 i=0 ck_fall=1 getd i <= 8 dat(i)<=di i <= i -1

24 Asinhroni zaporedni vmesnik RS232 Asinhroni sprejemnik in oddajnik (UART) asinhroni podatkovni paketi, sprejemna ura se sinhronizira za vsak paket sinhronizacija paketov vnaprej dogovorjene bitne frekvence 9600, 38400, bit/s npr. podatkovni paket 8N1:

25 Asinhroni zaporedni vmesnik LIN LIN (Local Interconnect Network) enostaven protokol, ki je podoben RS232 razvit za avtomobilsko industrijo V primerjavi z zmogljivejšim avtomobilskim vmesnikom CAN je počasnejši in enostavnejši uporaben za monitoring senzorjev, prenos ukazov za odpiranje oken cenena izvedba vmesnika z mikrokrmilnikom ne vsebuje robustnega odpravljanja napak ni uporaben za varnostno kritične aplikacije

26 Prenos podatkov po protokolu LIN Sinhronizacija sprejemnika min. 13 bitov logična 0, ki mu sledi 1 bit premora sledi start bit (logična 0) in kombinacija min. 13 bitov min. 1 bit start Prenos v serijski obliki 8N1 start bit (logična 0), 8 podatkov in stop bit (log. 1) start bit LSB MSB stop bit

27 Povzetek Kakšne so prednosti zaporednega (serijskega) prenosa podatkov pred vzporednim? Opiši osnovni princip zaporednega prenosa podatkov s pomikalnimi registri. Opiši kako naredimo z logičnim vezjem vzporednozaporedno pretvorbo podatkov (2 načina). Navedi nekaj primerov zaporednih (serijskih) vmesnikov. V čem se razlikujejo zaporedni vmesniki? Kje se uporabljajo?

DES11_vmesniki

DES11_vmesniki Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki in sekvenčna vezja Zaporedna in vzporedna vodila 1 Vmesniki in vodila

Prikaži več

DES11_realno

DES11_realno Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Delovanje realnega vezja Omejitve modela vezja 1 Model v VHDLu je poenostavljeno

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Model vezja Računalniški model in realno vezje Model logičnega negatorja Načini

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki Vodila, vzporedni (paralelni) vmesniki Vmesniki in vodila naprava 1

Prikaži več

Microsoft PowerPoint - NDES_8_USB_LIN.ppt

Microsoft PowerPoint - NDES_8_USB_LIN.ppt Laboratorij za na rtovanje integriranih vezij Fakulteta za elektrotehniko Univerza v Ljubljani ndrej Trost artovanje digitalnih el. sistemov Komunikacijski vmesniki UB in LI http://lniv.fe.uni-lj.si/ndes.html

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Osnove jezika VHDL Strukturno načrtovanje in testiranje Struktura vezja s komponentami

Prikaži več

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD ( 5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (angl. Complex Programmable Logic Device) so manjša

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Programirljivi Digitalni Sistemi Digitalni sistem Digitalni sistemi na integriranem vezju Digitalni sistem

Prikaži več

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funkciji izbiralnika. Tisti od 2 n izhodov y 0,.., y 2

Prikaži več

Procesorski sistemi v telekomunikacijah

Procesorski sistemi v telekomunikacijah Procesorski sistemi v telekomunikacijah Komunikacija v procesorskih sistemih (c) Arpad Bűrmen, 2010-2012 Sinhrona komunikacija Podatkovne linije + sinhronizacijski signal Sihnronizacijski signal določa

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 4 - AV 4 Linije LTSpice, simulacija elektronskih vezij VIN - LV 1 Rozman,Škraba, FRI LTSpice LTSpice: http://www.linear.com/designtools/software/ https://www.analog.com/en/design-center/design-tools-andcalculators/ltspice-simulator.html

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Digitalni sistemi Vgrajeni digitalni sistemi Digitalni sistem: osebni računalnik

Prikaži več

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvantnih celičnih avtomatov SEMINARSKA NALOGA Univerzitetna

Prikaži več

Microsoft Word - EV-2-projekt.doc

Microsoft Word - EV-2-projekt.doc UNIVERZA V LJUBLJANI FAKULTETA ZA ELEKTROTEHNIKO Matjaž Repovž MERILNIK TLAKA Seminarska nalogapri predmetu Elektronska vezja Ljubljana, 2009 Ključne besede: Merilnik Tlaka LPC2136 USB LabView RS232 FT232RL

Prikaži več

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila Programsko orodje LabVIEW za kreiranje, zajem in obdelavo signalov (statične in dinamične karakteristike hidravličnih proporcionalnih ventilov) Marko Šimic Telefon: +386 1 4771 727 e-mail: marko.simic@fs.uni-lj.si

Prikaži več

CelotniPraktikum_2011_verZaTisk.pdf

CelotniPraktikum_2011_verZaTisk.pdf Elektrotehniški praktikum Osnove digitalnih vezij Namen vaje Videti, kako delujejo osnovna dvovhodna logi na vezja v obliki integriranih vezij oziroma, kako opravljajo logi ne funkcije Boolove algebre.

Prikaži več

Vgrajeni sistemi Uvod & ponovitev C

Vgrajeni sistemi Uvod & ponovitev C Analogno-digitalna pretvorba Vgrajeni sistemi 2015/16 Rok Češnovar STM32F4 in ADC imamo 3 ADC naprave (ADC1, ADC2, ADC3) vsaka naprava ima 16 vhodov 8 vhodov je vezanih na vse 3 naprave 8 vhodov je vezanih

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 5 - LV 1 Meritve dolžine in karakteristične impedance linije VIN - LV 1 Rozman,Škraba, FRI Model linije Rs Z 0, Vs u i u l R L V S - Napetost izvora [V] R S -

Prikaži več

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod: 1-fazni 230VAC; 4 šuko vtičnica preko UPS-a; 2 šuko

Prikaži več

Microsoft Word - EV,N_Poglavje o modulacijah.doc

Microsoft Word - EV,N_Poglavje o  modulacijah.doc E,VN- Elektronska vezja, naprave 8 MODULACIJSKE TEHNIKE Modulacijske tehnike 8.1 SPLOŠNO O MODULACIJAH Modulacija je postopek, ki omogoča zapis koristnega signala na nosilni signal. Za nosilni signal je

Prikaži več

Slide 1

Slide 1 Tehnike programiranja PREDAVANJE 10 Uvod v binarni svet in računalništvo (nadaljevanje) Logične operacije Ponovitev in ilustracija Logične operacije Negacija (eniški komplement) Negiramo vse bite v besedi

Prikaži več

seminarska_naloga_za_ev

seminarska_naloga_za_ev Univerza v Ljubljani Fakulteta za elektrotehniko Matevž Seliger 8-kanalni Lightshow Seminarska naloga pri predmetu: V Horjulu, junij 2008 Kazalo: 1 Uvod... 3 1.1 Namen in uporaba izdelka... 3 2 Delovanje...

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Procesorji Model računalnika, mikrokrmilnik CPE = mikrosekvenčnik + podatkovna

Prikaži več

Microsoft Word - CNC obdelava kazalo vsebine.doc

Microsoft Word - CNC obdelava kazalo vsebine.doc ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo mesto, april 2008 Ime in priimek študenta ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo

Prikaži več

Microsoft Word - NAVODILA ZA UPORABO.docx

Microsoft Word - NAVODILA ZA UPORABO.docx NAVODILA ZA UPORABO VODILO CCM-18A/N-E (K02-MODBUS) Hvala ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shranite za prihodnjo rabo. Vsebina

Prikaži več

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE ELEKTRONIKA ŠTUDIJ ELEKTRONIKE Umetni nos, Laboratorij za mikroelektroniko, FE Odprtokodni instrument, Red Pitaya, Ljubljana Senzorji krvnega tlaka, Hyb, Šentjernej Elaphe, elektronika omogoča električno

Prikaži več

PowerPointova predstavitev

PowerPointova predstavitev Načrtujemo, razvijamo in izdelamo elektroniko po meri naročnika Svetujemo pri izbiri komponent, optimiziramo stroškovnike in proizvodni proces. Ključne kompetence Razvoj elektronike (hardware) Vgrajeni

Prikaži več

VIN Lab 1

VIN Lab 1 Vhodno izhodne naprave Laboratorijska vaja 1 - AV 1 Signali, OE, Linije VIN - LV 1 Rozman,Škraba, FRI Laboratorijske vaje VIN Ocena iz vaj je sestavljena iz ocene dveh kolokvijev (50% ocene) in iz poročil

Prikaži več

Šolski center celje

Šolski center celje ŠOLSKI CENTER CELJE Gimnazija Lava DVORIŠČNA VRATA NA DALJINSKO UPRAVLJANJE MENTOR: Matjaž Cizej, univ. dipl. inž. AVTOR: Roman Leban, L-4.F Celje, marec 2010 KAZALO VSEBINE 1 POVZETEK /SUMMARY... 1 2

Prikaži več

an-01-sl-Temperaturni_zapisovalnik_podatkov_Tempmate.-S1.docx

an-01-sl-Temperaturni_zapisovalnik_podatkov_Tempmate.-S1.docx SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 14 24 835 www.conrad.si NAVODILA ZA UPORABO Temperaturni zapisovalnik podatkov Tempmate. S1 Kataloška št.: 14 24 835 KAZALO 1. OPIS PROGRAMSKE OPREME ZA NAPRAVO...

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno-izhodne naprave naprave 1 Uvod VIN - 1 2018, Igor Škraba, FRI Vsebina 1 Uvod Signal električni signal Zvezni signal Diskretni signal Digitalni signal Lastnosti prenosnih medijev Slabljenje Pasovna

Prikaži več

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Žiga Mlakar PROGRAMIRLJIVE STRUKTURE FPGA NIZKE KOMPLEKSNOSTI Diplomsko delo Maribor, september 2014 PROGRAMIRLJIVE STRUKTURE

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 11. junij 2014 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Microsoft Word - vaje2_ora.doc

Microsoft Word - vaje2_ora.doc II UKAZI 1. Napišite zaporedje ukazov, ki vrednost enobajtne spremenljivke STEV1 prepiše v enobajtno spremenljivko STEV2. Nalogo rešite z neposrednim naslavljanjem (zaporedje lahko vsebuje le 2 ukaza v

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Mario Trifković Programljivi 6 Timer Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, junij 2009 Mario Trifković Programljivi 6 Timer 2 1.

Prikaži več

REALIZACIJA ELEKTRONSKIH SKLOPOV

REALIZACIJA ELEKTRONSKIH SKLOPOV Načrtovanje zaemc = elektronike 2 1 Katedra za elektroniko 2 Čemu? 3 Kdo? Katedra za elektroniko 4 Izziv: DC/DC stikalni napajalnik navzdol U vhod Vhodno sito Krmilno integrirano vezje NMOSFET NMOSFET

Prikaži več

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k 10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, ki ga sprejme antena in dodatni šum T S radijskega sprejemnika.

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 12. junij 2013 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

1

1 Univerza v Ljubljani Fakulteta za elektrotehniko Žiga Četrtič Daljinski multimedijski upravljalnik računalnika Seminarska naloga pri predmetu Elektronska vezja Podgora pri Dolskem, oktober 2010 1 Uvod

Prikaži več

Sistemi Daljinskega Vodenja Vaja 1 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani

Sistemi Daljinskega Vodenja Vaja 1 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani Sistemi Daljinskega Vodenja Vaja 1 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani matej.kristan@fe.uni-lj.si Sistemi Daljinskega Vodenja Ime: Matej Kristan Docent

Prikaži več

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni RAM stroj Nataša Naglič 4. junij 2009 1 RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni trak, pomnilnik ter program. Bralni trak- zaporedje

Prikaži več

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, 2013 5.marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zaporedno ali b) vzporedno Določi nadomestno upornost

Prikaži več

Logični modul LOGO!

Logični modul LOGO! Logični modul LOGO! LOGO! Siemensov univerzalni logični modul LOGO! vsebuje: Krmilno enoto Enoto za prikaz in tipkovnico Napajalno vezje Vmesnik za spominski modul in PC kabel Funkcije, pripravljene za

Prikaži več

Protokoli v računalniškem komuniciranju TCP, IP, nivojski model, paket informacij.

Protokoli v računalniškem komuniciranju TCP, IP, nivojski model, paket informacij. Protokoli v računalniškem komuniciranju TCP, IP, nivojski model, paket informacij. Protokoli - uvod Protokol je pravilo ali zbirka pravil, ki določajo načine transporta sporočil po računalniškem omrežju

Prikaži več

Microsoft PowerPoint - ads

Microsoft PowerPoint - ads Novosti pri analogni video-nadzorni opremi Junij 2012 1. Dnevno/nočna kamera ADS-CAM-K2DNC 2. Snemalniki ADS-LIGHT: ADS-0404DH ADS-0804DH ADS-1604DH ADS-0404HED ADS-CAM-K2DNC Dnevno / nočna kamera z IR

Prikaži več

1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatk

1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatk 1 MMK - Spletne tehnologije Vaja 5: Spletni obrazci Vaja 5 : Spletni obrazci 1. Element form Spletni obrazci so namenjeni zbiranju uporabniških podatkov in njihov prenos med spletnimi mesti. Obrazec v

Prikaži več

Microsoft PowerPoint - IPPU-V2.ppt

Microsoft PowerPoint - IPPU-V2.ppt Informatizacija poslovnih procesov v upravi VAJA 2 Procesni pogled Diagram aktivnosti IPPU vaja 2; stran: 1 Fakulteta za upravo, 2006/07 Procesni pogled Je osnova za razvoj programov Prikazuje algoritme

Prikaži več

Microsoft Word - UP_Lekcija04_2014.docx

Microsoft Word - UP_Lekcija04_2014.docx 4. Zanka while Zanke pri programiranju uporabljamo, kadar moramo stavek ali skupino stavkov izvršiti večkrat zaporedoma. Namesto, da iste (ali podobne) stavke pišemo n-krat, jih napišemo samo enkrat in

Prikaži več

Organizacija računalnikov

Organizacija računalnikov ORGANIZACIJA RAČUNALNIKOV 6 Prenosne poti in vrste prenosov OR 6 Povzetki predavanj 1 2018, Rozman, FRI 6. Prenosne poti in vrste prenosov Namen in cilji 6. poglavja: Večno vprašanje: kako prenesti več

Prikaži več

Microsoft PowerPoint - Sirikt-SK-FV.ppt

Microsoft PowerPoint - Sirikt-SK-FV.ppt E-učbeniki za izbrane naravoslovno-tehniške predmete E-books for selected science and technical subjects Slavko KOCIJANČIČ Univerza v Ljubljani, Pedagoška fakulteta slavko.kocijancic@pef.uni-lj.si Franc

Prikaži več

Datum in kraj

Datum in kraj Ljubljana, 5. 4. 2017 Katalog znanj in vzorci nalog za izbirni izpit za vpis na magistrski študij Pedagoško računalništvo in informatika 2017/2018 0 KATALOG ZNANJ ZA IZBIRNI IZPIT ZA VPIS NA MAGISTRSKI

Prikaži več

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011 II Diplomsko delo visokošolskega strokovnega študijskega programa DETEKTOR FAZNE NAPAKE OPTIČNEGA ENKODERJA

Prikaži več

STAVKI _5_

STAVKI _5_ 5. Stavki (Teoremi) Vsebina: Stavek superpozicije, stavek Thévenina in Nortona, maksimalna moč na bremenu (drugič), stavek Tellegena. 1. Stavek superpozicije Ta stavek določa, da lahko poljubno vezje sestavljeno

Prikaži več

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje priročno programsko okolje tolmač interpreter (ne prevajalnik)

Prikaži več

Microsoft Word - avd_vaje_ars1_1.doc

Microsoft Word - avd_vaje_ars1_1.doc ARS I Avditorne vaje Pri nekem programu je potrebno izvršiti N=1620 ukazov. Pogostost in trajanje posameznih vrst ukazov računalnika sta naslednja: Vrsta ukaza Štev. urinih period Pogostost Prenosi podatkov

Prikaži več

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Trditev: idealni enosmerni tokovni vir obratuje z močjo

Prikaži več

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah O opozorilih OPOZORILO: OPOZORILO označuje možnost poškodb lastnine, telesnih poškodb ali smrti. Dell Vostro 430 List s tehničnimi informacijami o nastavitvi in funkcijah Pogled s sprednje in zadnje strani

Prikaži več

I Saša Kos Meritve nestandardnih odvodov signalov EKG Diplomsko delo Maribor, september 2011

I Saša Kos Meritve nestandardnih odvodov signalov EKG Diplomsko delo Maribor, september 2011 I Saša Kos Meritve nestandardnih odvodov signalov EKG Diplomsko delo Maribor, september 2011 II Diplomsko delo visokošolskega strokovnega študijskega programa Študent: Saša Kos Študijski program: VS Računalništvo

Prikaži več

Microsoft PowerPoint - CIGER - SK 3-15 Izkusnje nadzora distribucijskih transformatorjev s pomo... [Read-Only]

Microsoft PowerPoint - CIGER - SK 3-15 Izkusnje nadzora distribucijskih transformatorjev s pomo... [Read-Only] CIRED ŠK 3-15 IZKUŠNJE NADZORA DISTRIBUCIJSKIH TRANSFORMATORJEV S POMOČJO ŠTEVCEV ELEKTRIČNE ENERGIJE ŽIGA HRIBAR 1, BOŠTJAN FABJAN 2, TIM GRADNIK 3, BOŠTJAN PODHRAŠKI 4 1 Elektro novi sistemi. d.o.o.,

Prikaži več

NAVODILO ZA UPORABO SISTEMA BIOMETRICS Laboratorijski sistem zajema podatkov LS 900 Kratka navodila za rokovanje z instrumentom. Pred uporabo dobro pr

NAVODILO ZA UPORABO SISTEMA BIOMETRICS Laboratorijski sistem zajema podatkov LS 900 Kratka navodila za rokovanje z instrumentom. Pred uporabo dobro pr NAVODILO ZA UPORABO SISTEMA BIOMETRICS Laboratorijski sistem zajema podatkov LS 900 Kratka navodila za rokovanje z instrumentom. Pred uporabo dobro preberi tudi originalna navodila, posebej za uporabo

Prikaži več

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO VISOKOŠOLSKI STROKOVNI ŠTUDIJ Elektrotehnika Elektronika POROČILO PRAKTI

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO VISOKOŠOLSKI STROKOVNI ŠTUDIJ Elektrotehnika Elektronika POROČILO PRAKTI UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO VISOKOŠOLSKI STROKOVNI ŠTUDIJ Elektrotehnika Elektronika POROČILO PRAKTIČNEGA IZOBRAŽEVANJA V ELRAD International, d. o. o.

Prikaži več

Microsoft PowerPoint - cigre_c2_15.ppt [Compatibility Mode]

Microsoft PowerPoint - cigre_c2_15.ppt [Compatibility Mode] Univerza v Mariboru Fakulteta za elektrotehniko, računalništvo in informatiko Boštjan Polajžer, Drago Dolinar, Jožef Ritonja (FERI) bostjan.polajzer@um.si Andrej Semprimožnik (ELES) KAZALNIKI KAKOVOSTI

Prikaži več

17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-p

17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-p 17. Karakteristična impedanca LC sita Eden osnovnih gradnikov visokofrekvenčnih vezij so frekvenčna sita: nizko-prepustna, visoko-prepustna, pasovno-prepustna in pasovno-zaporna. Frekvenčna sita gradimo

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec 2.TRAN analiza (Analiza v časovnem prostoru) Iskanje odziva nelinearnega dinamičnega vezja v časovnem prostoru Prehodni pojavi Stacionarno

Prikaži več

Overview

Overview SMETARSKA VOZILA ROS ROCA Olympus nadgradnja na dvoosnem vozilu Olympus nadgradnja na triosnem vozilu Olympus Nova zasnova smetarskega vozila od Januarja 2010 Opravljen trpežnosti test je ekvivalenten

Prikaži več

Microsoft PowerPoint - ORS-1.ppt

Microsoft PowerPoint - ORS-1.ppt ORGANIZACIJA RAČUNALNIŠKIH SISTEMOV Lastnosti integriranih digitalnih vezij ORS 2013, Igor Škraba, FRI Von Neumannov model računalnika (= matematični model in dejanski računalnik) ne določa tehnologije,

Prikaži več

LINEARNA ELEKTRONIKA

LINEARNA ELEKTRONIKA Linearna elektronika - Laboratorijske vaje 1 LINERN ELEKTRONIK LBORTORIJSKE VJE Priimek in ime : Skpina : Datm : 1. vaja : LSTNOSTI DVOVHODNEG VEZJ Naloga : Za podano ojačevalno stopnjo izmerite h parametre,

Prikaži več

Poskusi s kondenzatorji

Poskusi s kondenzatorji Poskusi s kondenzatorji Samo Lasič, Fakulteta za Matematiko in Fiziko, Oddelek za fiziko, Ljubljana Povzetek Opisani so nekateri poskusi s kondenzatorji, ki smo jih izvedli z merilnim vmesnikom LabPro.

Prikaži več

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo Turingov stroj in programiranje Barbara Strniša 12. 4. 2010 1 Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolov (običajno Σ 2) Σ n = {s 1 s 2... s n ; s i Σ, i =

Prikaži več

Microsoft Word - Diplom_ _knjiznjica_JPG_print.doc

Microsoft Word - Diplom_ _knjiznjica_JPG_print.doc UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO RAČUNALNIŠTVO IN INFORMATIKO Iztok Blazinšek RAZVOJ PRIKLOPNE POSTAJE ZA PLAČILNE TERMINALE MARGENTO mpos Diplomska naloga Maribor, september 2010 Diplomsko

Prikaži več

Microsoft Word - Dip2U_41.doc

Microsoft Word - Dip2U_41.doc Stanislav Moraus UPORABA PROGRAMABILNIH VEZIJ ZA ZAZNAVO NAPAK V VGRAJENIH KRMILNIH SISTEMIH Diplomsko delo Maribor, Junij 2010 I Diplomsko delo univerzitetnega študijskega programa Uporaba programabilnih

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Jernej Plankar IR vmesnik za prenos zvoka Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, avgust 2011 Jernej Plankar IR prenos zvoka 2 1 UVOD

Prikaži več

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s 1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni smeri obnaša kot nelinearen kondenzator, ki mu z višanjem

Prikaži več

Document ID / Revision : 0519/1.3 ID Issuer System (sistem izdajatelja identifikacijskih oznak) Navodila za registracijo gospodarskih subjektov

Document ID / Revision : 0519/1.3 ID Issuer System (sistem izdajatelja identifikacijskih oznak) Navodila za registracijo gospodarskih subjektov ID Issuer System (sistem izdajatelja identifikacijskih oznak) Navodila za registracijo gospodarskih subjektov Gospodarski subjekti Definicija: V skladu z 2. členom Izvedbene uredbe Komisije (EU) 2018/574

Prikaži več

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajanje prekinitvene rutine Dogodek GLAVNI PROGRAM (MAIN-OB1)

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : ržavni izpitni center *M15178112* SPOMLNSKI IZPITNI ROK Izpitna pola 2 Četrtek, 4. junij 2015 / 90 minut ovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali

Prikaži več

Laboratorij za strojni vid, Fakulteta za elektrotehniko, Univerza v Ljubljani Komunikacije v Avtomatiki Vaje, Ura 8 Matej Kristan

Laboratorij za strojni vid, Fakulteta za elektrotehniko, Univerza v Ljubljani Komunikacije v Avtomatiki Vaje, Ura 8 Matej Kristan Laboratorij za strojni vid, Fakulteta za elektrotehniko, Univerza v Ljubljani Komunikacije v Avtomatiki Vaje, Ura 8 Matej Kristan Vsebina današnjih vaj: ARP, NAT, ICMP 1. ARP

Prikaži več

Modem in krajevno omrežje Uporabniški priročnik

Modem in krajevno omrežje Uporabniški priročnik Modem in krajevno omrežje Uporabniški priročnik Copyright 2008 Hewlett-Packard Development Company, L.P. Informacije v tem priročniku se lahko spremenijo brez prejšnjega obvestila. Edine garancije za HP-jeve

Prikaži več

VHF1-VHF2

VHF1-VHF2 VHF BREZŽIČNI MIKROFONSKI KOMPLET VHF1: 1 CHANNEL VHF2: 2 CHANNELS NAVODILA ZA UPORABO SLO Hvala, ker ste izbrali naš BREZŽIČNI MIKROFONSKI KOMPLET IBIZA SOUND. Za vašo lastno varnost, preberite ta navodila

Prikaži več

No Slide Title

No Slide Title Glavne napake-pomoč KRONOS 1 Diagnostika in dostop do sistema PEČICA NAPAKA NAPAKA PRIKAZANA Z KODO NAPAKE NAPAKA BREZ INDIKACIJE KODE NAPAKE 2 Diagnostika in dostop do sistema Prikaz kode napake Informacije

Prikaži več

Učinkovita izvedba algoritma Goldberg-Tarjan Teja Peklaj 26. februar Definicije Definicija 1 Naj bo (G, u, s, t) omrežje, f : E(G) R, za katero v

Učinkovita izvedba algoritma Goldberg-Tarjan Teja Peklaj 26. februar Definicije Definicija 1 Naj bo (G, u, s, t) omrežje, f : E(G) R, za katero v Učinkovita izvedba algoritma Goldberg-Tarjan Teja Peklaj 26. februar 2009 1 Definicije Definicija 1 Naj bo (G, u, s, t) omrežje, f : E(G) R, za katero velja 0 f(e) u(e) za e E(G). Za v V (G) definiramo presežek

Prikaži več

Strojna oprema

Strojna oprema Asistenta: Mira Trebar, Miha Moškon UIKTNT 2 Uvod v programiranje Začeti moramo razmišljati algoritmično sestaviti recept = napisati algoritem Algoritem za uporabo poljubnega okenskega programa. UIKTNT

Prikaži več

Diapozitiv 1

Diapozitiv 1 RAČUNALNIŠKA ARHITEKTURA 9 Pomnilniška hierarhija RA - 9 2018, Škraba, Rozman, FRI Pomnilniška hierarhija - vsebina 9 Pomnilniška hierarhija - cilji: Osnovno razumevanje : Lokalnosti pomnilniških dostopov

Prikaži več

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Mihael Sklepić SISTEM ZA KRMILJENJE TALNEGA GRETJA NA SONČNO ENERGIJO Z

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Mihael Sklepić SISTEM ZA KRMILJENJE TALNEGA GRETJA NA SONČNO ENERGIJO Z UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Mihael Sklepić SISTEM ZA KRMILJENJE TALNEGA GRETJA NA SONČNO ENERGIJO Z UPORABO KOLEKTORJEV Diplomsko delo Maribor, september

Prikaži več

ARS1

ARS1 Nepredznačena in predznačena cela števila Dvojiški zapis Nepredznačeno Predznačeno 0000 0 0 0001 1 1 0010 2 2 0011 3 3 Pri odštevanju je stanje C obratno (posebnost ARM)! - če ne prekoračimo 0 => C=1 -

Prikaži več

Event name or presentation title

Event name or  presentation title Marko Škufca Vodja programa BI, ADD d.o.o. Gorazd Cah Specialist področja Služba za informatiko, DARS d.d. Izziv Rešitev Rezultati... PROCESI + TEHNOLOGIJA + LJUDJE Poslanstvo: s sodobnimi pristopi in

Prikaži več

Uradni list RS - 12(71)/2005, Mednarodne pogodbe

Uradni list RS - 12(71)/2005, Mednarodne pogodbe PRILOGA 3 Osnovne značilnosti, ki se sporočajo za usklajevanje 1. Zgradba podatkovne zbirke Podatkovno zbirko sestavljajo zapisi, ločeni po znakovnih parih "pomik na začetek vrstice pomik v novo vrstico"

Prikaži več

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Tomaž Bratuša MERJENJE IN PRIKAZOVANJE DELOVNIH PARAMETROV MOTORNEGA KOL

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Tomaž Bratuša MERJENJE IN PRIKAZOVANJE DELOVNIH PARAMETROV MOTORNEGA KOL UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Tomaž Bratuša MERJENJE IN PRIKAZOVANJE DELOVNIH PARAMETROV MOTORNEGA KOLESA Diplomsko delo Maribor, september 2015 MERJENJE

Prikaži več

Vprašanja za 2. izpitno enoto poklicne mature Strokovni predmet NPA Vprašanja Visual C# (4. letnik) 1. Uporabniški vmesnik razvojnega okolja Visual C#

Vprašanja za 2. izpitno enoto poklicne mature Strokovni predmet NPA Vprašanja Visual C# (4. letnik) 1. Uporabniški vmesnik razvojnega okolja Visual C# Vprašanja za 2. izpitno enoto poklicne mature Strokovni predmet NPA Vprašanja Visual C# (4. letnik) 1. Uporabniški vmesnik razvojnega okolja Visual C# Pomen posameznih oken uporabniškega vmesnika, urejevalnik

Prikaži več

Senzorski modul za spremljanje hladne verige

Senzorski modul za spremljanje hladne verige Univerza v Ljubljani Fakulteta za računalništvo in informatiko Rok Ivančič Senzorski modul za spremljanje hladne verige DIPLOMSKO DELO VISOKOŠOLSKI STROKOVNI ŠTUDIJSKI PROGRAM PRVE STOPNJE RAČUNALNIŠTVO

Prikaži več

ORA 1-3

ORA 1-3 OSNOVE RAČUNALNIŠKE ARHITEKTURE II 9 Glavni pomnilnik ORA 2-9 Igor Škraba, FRI Glavni pomnilnik in predpomnilnik Glavni pomnilnik je prostor iz katerega CPE bere ukaze in operande in vanj shranjuje rezultate.

Prikaži več

SAR DO VAS HITREJE TAKRAT, KO VSAKA MINUTA ŠTEJE SL

SAR DO VAS HITREJE TAKRAT, KO VSAKA MINUTA ŠTEJE SL SAR DO VAS HITREJE TAKRAT, KO VSAKA MINUTA ŠTEJE SL COSPAS-SARSAT Zmogljivosti SAR v okviru sistema Galileo so vključene v mednarodni program COSPAS-SARSAT, tj. satelitski program za zaznavanje klicev

Prikaži več

BDV-N890W/BDV-N790W

BDV-N890W/BDV-N790W Sistem za domači kino s predvajalnikom Blu-ray Disc /DVD BDV-N890W BDV-N790W SI Začnite tukaj Kratka navodila za postavitev in uporabo BDV-N790W BDV-N890W 1 Vsebina embalaže/nastavitev zvočnikov BDV-N890W

Prikaži več

NAVODILA ZA UPORABO K01-WIFI Hvala, ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shr

NAVODILA ZA UPORABO K01-WIFI Hvala, ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta Navodila za uporabo in jih shr NAVODILA ZA UPORABO Hvala, ker ste se odločili za nakup našega izdelka. Pred uporabo enote skrbno preberite ta in jih shranite za prihodnjo rabo Vsebina 1. Pregled 2. Sistem 3. Prednosti 4. Upravljanje

Prikaži več

Microsoft Word - Navodila_NSB2_SLO.doc

Microsoft Word - Navodila_NSB2_SLO.doc Borovniško naselje 7 1412 Kisovec Slovenija Tel.: +386(0) 356 72 050 Fax.: +368(0)356 71 119 www.tevel.si Lastno varni napajalnik Tip NSB2/xx (NAVODILA ZA UPORABO) Navodila_NSB2_SLO.doc2/xx Stran 1 od

Prikaži več

Macoma katalog copy

Macoma katalog copy POSLOVNE APLIKACIJE PO ŽELJAH NAROČNIKA Poročilni sistem Finance in kontroling Poprodaja Podatkovna skladišča Prodaja Proizvodnja Obstoječi ERP Partnerji Implementacija rešitev prilagojena po željah naročnika

Prikaži več

Gradbeništvo kot Industrija 4.0

Gradbeništvo kot Industrija 4.0 Povzetek: Kot vse druge panoge se mora gradbeništvo modernizirati Industrija 4.0 koncept, ki daje modernizaciji okvir, motivacijo, zagon Industrija 4.0 je stapljanje fizičnega in digitalnega sveta Gradbeništvo

Prikaži več

(Microsoft Word - U\350enje telegrafije po Kochovi metodi.doc)

(Microsoft Word - U\350enje telegrafije po Kochovi metodi.doc) MORSE UČENJE PO KOCHOVI METODI Računalniški program za učenje skupaj z nekaterimi dodatnimi datotekami dobite na spletni strani avtorja: http://www.g4fon.net/. Zanimive strani so tudi: - http://www.qsl.net/n1irz/finley.morse.html

Prikaži več

Microsoft Word - DIPLOMA.doc

Microsoft Word - DIPLOMA.doc Diplomsko delo: Uvod I UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO 2000 Maribor, Smetanova ul. 17 Diplomska naloga univerzitetnega študijskega programa Preverjanje pristnosti

Prikaži več