Microsoft Word - Dip2U_41.doc

Velikost: px
Začni prikazovanje s strani:

Download "Microsoft Word - Dip2U_41.doc"

Transkripcija

1 Stanislav Moraus UPORABA PROGRAMABILNIH VEZIJ ZA ZAZNAVO NAPAK V VGRAJENIH KRMILNIH SISTEMIH Diplomsko delo Maribor, Junij 2010

2

3 I Diplomsko delo univerzitetnega študijskega programa Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Študent: Študijski program: Smer: Mentor: Stanislav Moraus UN Elektrotehnika Elektronika Doc.dr. Mitja Solar, elektronika Maribor, Junij 2010

4 II II

5 III ZAHVALA Zahvaljujem se mentorju za pomoč in vodenje pri opravljanju diplomskega dela. Hvala tudi vsem ostalim sodelavcem Laboratorija za sisteme v realnem času. Posebna zahvala družini za podporo v času študija.

6 IV Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Ključne besede: sistemi v realnem času, vgrajeni sistemi za nadzor, upravljanje z napakami, odkrivanje napak, evolucijski algoritmi UDK: : (043.2) Povzetek: Diplomsko delo predstavlja princip odkrivanje napak na področju vgrajenih krmilnih sistemov.predstavljeni so osnovni načini zaznavanja napak v vgrajenih krmilnih sistemih. Opisani so tudi načini pridobivanja parametrov za odločanje kdaj in kaj je napaka. Jedro naloge predstavlja opis tehnike za odkrivanje napak, ki temelji na uporabi tako imenovanih nadzornih celic. Osnovna ideja je, da spremljamo vhodno/izhodne spremenljivke, signale, notranja stanja sistemov, procesov ali pod-procesov in s pomočjo pridobljenih podatkov in znanja o normalnem obnašanju odkrijemo nepravilnosti v delovanju sistema in po potrebi ukrepamo. Eden od principov za ugotavljanje kdaj sistem deluje nominalno in kdaj ne, je uporaba evolucijskih algoritmov. Predstavljena je tudi implementacija sistema za zaznavanje napak po principu nadzornih celic z FPGA in PSoC vezjem.

7 V The use of programmable circuits to detect faults in embedded control systems Key words: real-time systems, embedded control systems, fault management, fault detection, evolution algorithms UDK: : (043.2) Abstract: In the diploma work, fault detection in the embedded control systems is discussed. Described are the basic methodologies for fault detection and the principles to determine what the fault is and when it happens. The main topic of this work is the fault detection technique that is based on so-called monitoring cells. The basic idea is to monitor the combination of input/output quantities and internal states of the system and of its parts. If those quantities diverge from their nominal values, a fault is signaled and corrective measures are engaged. One of the approaches to determine the nominal values is utilization of evolution algorithms. Furthermore, a hardware implementation of fault detection by means of monitoring cells is presented. It is based on FPGA and PSoC programmable devices.

8 VI VSEBINA 1 UVOD VGRAJENI KRMILNI SISTEMI VARNOSTNO KRITIČNI SISTEMI ZAZNAVANJE NAPAK V VGRAJENIH KRMILNIH SISTEMIH TEHNIKE ZA ZAZNAVANJE NAPAK Funkcionalno preverjanje Preverjanje smiselnosti podatkov Primerjanje vrednosti Informacijska redundanca Preverjanje s povratno zanko Nadzorovanje vodil Časovno preverjanje ODPORNOST NA NAPAKE Redundanca in mnogovrstnost Dopuščanje napak s strojnimi ukrepi Dopuščanje napak s programskimi ukrepi IMPLEMENTACIJA SISTEMA ZA ZAZNAVANJE NAPAK ZBIRKA TESTNIH RUTIN UPORABA NAMENSKE STROJNE OPREME ZAZNAVANJE NEPRAVILNOSTI S SPREMLJANJEM STANJA CELICE Opis postopka spremljanje celice Ocena spremljajočih signalov Vzpostavitev spremljevalne funkcije Hiper kocka Konstruiranje hiper kocke Evolucijski algoritmi PRIMERI IMPLEMENTACIJE UPORABA FPGA VEZIJ Zgodovina, trenutno stanje in prihodnost FPGA vezij... 28

9 VII Uporabnost FPGA vezij v sistemih za zaznavo napak Implementacija z FPGA UPORABA PSOC VEZIJ Zgodovina, trenutno stanje in prihodnost PSoC vezij Uporabnost PSoC vezij v sistemih za zaznavo napak Implementacija s PSoC PRIMERJAVA REZULTATOV OBEH IMPLEMENTACIJ SKLEP VIRI, LITERATURA PRILOGE PRILOGE VEZALNI NAČRTI SEZNAM SLIK SEZNAM PREGLEDNIC SEZNAM PRILOG Seznam prilog NASLOV ŠTUDENTA KRATEK ŽIVLJENJEPIS... 47

10 VIII UPORABLJENI SIMBOLI element unija

11 IX UPORABLJENE KRATICE FPGA PSoC MCU CPU I2C, IIC USB 2.0 CAN 2.0 JTAG RISC ARM SRAM EE PROM ADC DAC MC EA SAR FMEA HAZOP Field-programmable gate array - programirljiva matrika logičnih vrat Programmable embedded system-on-chip - programabilni sistem v enem vezju Multipoint control unit več točkpvna nadzorna naprava Central processing unit centralna procesna enota Inter-Integrated Circuit zaporedni komunikacijski vmesnik I2C Universal Serial Bus univerzalno serijsko vodilo Controller area network področno omrežje krmilnikov Joint Test Action Group vmesnik za razhroščevanje Reduced instruction Set Computer mikroprocesor z omejenim naborom ukazov Advanced RISC Machine napredna RISC arhitektura Static Random Access Memory statični bralno-pisalni pomnilnik Electrically Erasable Programmable Read-Only Memory električno zbrisljiv in programirljiv bralni pomnilnik Analog-to-Digital Converter analogno digitalni pretvornik Digital-to-Analog Converter digitalno analogni pretvornik Monitoring Cell spremljanje celice Evolutionary algorithmus - evolucijski algoritmem Successive Approximation Register zaporedno približevanje vrednosti v registru Failure Modes and Effects Analysis - analiza možnih napak in njihovih posledic Hazard And OPerability Studies - metoda sistematične analize nevarnosti med obratovanjem ETA&FTA Event and Fault Tree Analysis - analiza drevesa napak CLB Configurable Logic Blocks nastavljivi logični bloki (Boolova algebra) PLD Programmable logic device programirljivo vezje

12 X PAL Programmable array logic programirno logično polje GAL(PALCE) Generic array logic splošno programirno logično polje CPLD A complex programmable logic device kompleksnejše programirljivo vezje

13 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 1 1 UVOD Tipični krmilni sistemi, ki jih danes srečamo, se sestojijo iz množice procesnih komponent, senzorjev, aktuatorjev, komunikacijskega omrežja in več tisoč vrstic programske kode. Primeri takšnih sistemov so industrijski obrati, jedrski reaktorji, avtomobilska elektronika, avionika itd. Velikost in kompleksnost takšnih sistemov se iz leta v leto povečuje in s tem tudi verjetnost, da bo odpovedala katera izmed mnogih komponent. Vsaka odpoved v takšnem sistemu lahko privede do velikih materialnih izgub, ogrožena so lahko tudi človeška življenja. Zato se vlagajo velika sredstva v zagotavljanje zanesljivosti krmilnih sistemov. Obstaja veliko tehnik za zagotavljanje zanesljivosti krmilnih sistemov. Zanesljivost običajno dosežemo z redundanco in diverznostjo. Za vse tehnike pa je značilno to, da je potrebno napako najprej zaznati. Tudi za detekcijo napak obstaja več možnih pristopov, ki se med seboj razlikujejo po svoji kompleknosti in učinkovitosti ter po tem kako globoko posega v delovanje osnovnega sistema. Računalniški sistemi, ki delujejo v režimu realnega časa, morajo svoja opravila izvesti v predpisanih časovnih okvirjih. Posebna skupina takšnih sistemov deluje v režimu strogega realnega časa[1], kar pomeni, da vsaka prekoračitev podanih časovnih omejitev lahko povzroči nedopustne posledice, kot so materialna škoda, ogrožanje varnosti ljudi, ekološka katastrofa. Na sliki je predstavljena razlika med mehkim in trdim realnim (Slika 1.1). Za druge sisteme pravimo, da delujejo v režimu mehkega realnega časa, pri katerih je določena prekoračitev časovnih omejitev še dopustna. Interaktivni sistem dela z računalnikom, porazdeljen sistem za rezervacijo vozovnic ipd. lahko prištevamo med sisteme v mehkem realnem času, saj ima običajno zakasnitev v takšnem sistemu le manjše posledice, kot je nezadovoljstvo uporabnika. Sisteme za nadzor nuklearnih elektrarn, kontrolne sisteme za upravljanje letal ali vesoljskih plovil in sisteme za nadzor kemičnih procesov pa prištevamo med sisteme v strogem realnem času, saj lahko odstopanja v predpisanih odzivnih rokih povzročijo katastrofo. Takšni sistemi so običajno del večjega sistema, zato jim pogosto pravimo tudi vgrajeni sistemi (angl. embedded systems).

14 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 2 Slika 1.1 Primerjava med mehkim in strogim realnim časom Poudariti je potrebno tudi razliko med varnostno kritičnimi sistemi in sistemi v realnem času. Glavni poudarek pri varnostno kritičnih sistemih je na robustnosti in odpornosti na napake, ne pa toliko na predpisanih odzivnih rokih. Ta delitev ni neposredno povezana s časovnimi omejitvami, čeprav večina sistemov v strogem realnem času spada tudi med varnostno kritične sisteme. Karakteristične lastnosti sistemov v realnem času: 1. Pravočasnost dva časovna aspekta: Relativni akcija se mora zgoditi v danem intervalu glede na nastop nekega dogodka, Absolutni čas obnašanje sistema je določeno s podanimi trenutki, natančnost je podana z dano granularnostjo, delitev na strogi in mehki realni čas. 2. Istočasnost: v sistemih v realnem času je mogoče istočasno pričakovati več dogodkov, ki zahtevajo pravočasni odziv. Potrebno je zagotoviti, da bodo zahteve izpolnjene ne glede na to, koliko opravil se trenutno izvaja. 3. Napovedljivost: da zadovoljimo zahteve, moramo vnaprej poznati obnašanje sistema in s tem zmožnosti sistema. Pomembno je obnašanje v najslašem primeru preobremenitev, izredne razmer 4. Zanesljivost (angl. dependability): ali in koliko se lahko na sistem zanesemo. Pojem je sestavljen iz: Pravilnosti: logična pravilnost ob nepravem času je prav tako napačna kot logična nepravilnost ob pravem času. Robustnosi: zahteva, da sistem ostane v specificiranem stanju tudi, ko okolje ne ustreza več specifikacijam (na primer: vhodne spremenljivke so izven predpisanih mej), ali ko izpade del sistema.

15 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 3 Pomembni pojmi so: o fail-safe obnašanje; o graceful-degradation zmogljivosti; o pravočasna zaznava izpadov, npr. time-out shema; o fault-tolerance ali trdoživost. Razpoložljivost: procesi morajo zagotavljati neprekinjeno interakcijo z okoljem. Predčasnega zaključka teh procesov zaradi napak ne toleriramo.

16 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 4 2 VGRAJENI KRMILNI SISTEMI Vgrajeni sistemi so specializirani računalniki, vgrajeni v večje sisteme, kot naprimer v avtomobilih, domačih in komunikacijskih napravah, industrijskih strojih, medicinskih napravah itd. Vgrajene tehnologije dodajajo inteligenco proizvodnim industrijskim sistemom in produktom. Ob povečani dodani vrednosti se tem napravam: Povečuje njihova produktivnost, Dodajajo nove funkcionalnosti, Povečuje kakovost in energetska učinkovitost, Zmanjšuje čas proizvodnje ter Povečuje njihova zanesljivost, razpoložljivost in varnost. Vgrajeni sistemi izvajajo samo nabor specifičnih, bolj ali manj kompleksnih opravil. Delujejo brez človeškega posredovanja, samo na podlagi senzorjev in aktuatorjev kot vmesniki z napravami, brez tipkovnic in prikazovalnikov, ipd. Za učinkovitejše delovanje so povezani v mreže na različnih nivojih. Torej lahko povezujejo od nekaj povezanih krmilnikov, senzorjev in aktuatorjev do kompleksnih sistemov kot so: sistemi industrijske informatike, internet of things. Danes, v letu 2010, je 90% vseh računalnikov uporabljenih v vgrajenih sistemih, to pomeni okoli 16 miljard vgrajenih sistemov, že leta 2020 pa bi jih naj bilo okoli 40 miljard [11]. Prav tako imajo vgrajeni sistemi velik delež v vrednosti posameznega izdelka: v avtomobilih (20%), v industrijskih napravah (22%), v telekomunikacijah (37%), v potrošniški elektroniki in inteligentnih hišah (41%) ter v medicinskih aparatih (33%). Dodana vrednost, ki jo prinašajo vgrajeni sistemi, je pogosto za nekaj velikostnih razredov večja kot delež njihove cene v končni ceni proizvoda. Vgrajeni sistemi so primerni tako za mala, srednja kot velika podjetja in so velika priložnost za odpiranje novih delovnih mest.

17 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 5 Slika 2.1 Dohodki na področju vgrajenih sistemov v svetovnem merilu Na sliki (Slika 2.1) so prikazani dohodki na področju vgrajenih sistemov v svetovnem merilu. Na levi osi je vrednost trga v milijonih dolarjev, na desni osi pričakovanja rasti trga v procentih. Primer: Evropska avtomobilska industrija zaposluje 2,7 milijona ljudi: Od leta 2008, ko je bil delež vrednosti vgrajenih sistemov v ceni avtomobila 20%. Je pričakovan delež vrednosti vgrajenih sistemov v ceni avtomobila do leta 2015 od 35 do 40%. Predviden porast vgrajenih sistemov v avtomobilski industriji pomeni novih delovnih mest. Medtem ko so na področju primarnih informacijskih tehnologij vodilni drugi deli sveta, je na področju vgrajenih sistemov vodilna Evropa (podjetja iz Evrope) in si to vodstvo prizadeva ohranit z: razpisi v okvirnih programih; Tehnološko platformo Artemis (javno-privatno partnerstvo), industrijska in projektna združenja (Artemis JU, Artemisia, Joint Technology Initiative) [10]

18 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 6 Pri izvedbah vgrajenih sistemov prihaja do neustreznih izvedb: Glavni vzrok je neskladje v smernicah razvoja univerzalnih sistemov in sistemov v realnem času (povprečne performanse najslabši primer). Neprimerna zgradba: o hitri pomnilniki, o cevenje in o dinamične strukture. Višja cena dosledno zgrajenih in verificiranih vgrajenih sistemov. Snovalci pogosto prihajajo iz drugih področij, so preobremenjeni, dosledno delo (posebej formalno) je naporno in dolgotrajno. Kompliciranost rešitev onemogoča jasno in preprosto razumevanje in verifikacijo.

19 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 7 3 VARNOSTNO KRITIČNI SISTEMI Vgrajeni sistemi za nadzor postajajo neviden um v najsodobnejših napravah. Vedno večja je tudi uporaba na varnostno kritičnih področjih, kjer lahko imajo razne napake resne ali celo usodne poledice. Naletimo na težave, zato ker morajo biti krmilniki zanesljivi, da zmanjšamo proizvodne stroške pa prilagodljivi. Prilagodljivost dosežemo v glavnem s programiranjem, kar je v nasprotju z zanesljivostjo, saj velja pravilo, več kot je programske kode, večja je možnost napak v njej. Napake v računalniških sistemih se delijo: po naravi: o naključne (naprimer napake v strojni opremi) in o sistemske (napake v snovanju, programske napake). po trajanju: o trajne (sistemske napake), o občasne (npr. alfa delec trči v polprevodniški pomnilnik) in o ponavljajoče se (slab kontakt). po obsegu: o lokalne (en strojni ali programski modul) in o Globalne (sistem). Strojne napake se dogajajo zaradi izrabljenosti, trenutnih ali naključnih napak ali vplivov okolja. Programske napake se ne dogajajo zaradi izrabe ali občutljivosti na vplive iz okolja. Če se dogajajo sistematske napake, potem so vzroki prikriti in jih je zelo težko definirati. Napake v računalniških sistemih, torej tudi v programabilnih sistemih za nadzor so neizogibne, zato se je razvila posebna tehnika za upravljanje z napakami (angl. fault menagement). Upravljanje z napakami se lahko opiše v štirih točkah [1], [15], [5], [7]: Izogibanje napakam (angl. fault avoidance): preprečevanje, da bi se napake sploh pojavile, kar se poskuša zagotoviti z ustreznim načrtovanjem sistema, Odstranjevanje napak (angl. fault removal): poskusi, da bi se napake našle, preden sistem uporabimo testiranje,

20 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 8 Zaznavanje napak (angl. fault detection): odkrivanje napak med delovanjem sistema, da bi se odpravil ali zmanjšal njihov vpliv, Dopuščanje napak (angl. fault tolerance): ukrepi, ki omogočijo, da sistem deluje pravilno ob neizogibni prisotnosti napak. Prva dva ukrepa sta zelo pomembna in učinkovita, ker preprečujeta vstop napake v sistem ob delovanju. Druga dva ukrepa se izvajata ko napake v sistemu že nastanejo.

21 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 9 4 ZAZNAVANJE NAPAK V VGRAJENIH KRMILNIH SISTEMIH Ker brezhibnega delovanja sistema ne moremo skoraj nikoli zagotoviti, je zelo pomembno, da vsako napako v sistemu zaznamo čim prej in s tem omogočimo sistemu, da pravočasno ukrepa. Prvi korak je ocena nevarnosti v primeru napake: Identifikacija možnih nevarnosti (hazards), povezanih s sistemom in njihova klasifikacija, Določanje metod za reševanje nevarnosti, Določanje ustreznih zahtev za zanesljivost in razpoložljivost, Določanje nivoja varnostne neoporečnosti, Določanje ustreznih razvojnih metod. Analiza nevarnosti daje mnogovrsten vpogled v značilnosti sistema. Najbolj znane tehnike so: FMEA: Analiza možnih napak in njihovih posledic (angl. Failure Modes and Effects Analysis) FMEA je v praksi ena najbolj preizkušenih metod, namenjenih preprečevanju napak v zgodnjih fazah nastajanja proizvoda, uspešna pa je tudi pri izboljšavah že obstoječih proizvodov oziroma procesov. Na sliki (Slika 4.1) je prikazan življenski cikel metode FMEA. Slika 4.1 FMEA Analiza možnih napak in njihovih posledic

22 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 10 HAZOP: Sistematične metode analize nevarnosti med obratovanjem (Hazard And OPerability Studies) HAZOP metoda je standardna metoda analize tveganja, uporabna za predhodno oceno novih sistemov ali spremembe obstoječih sistemov. Metoda se izvede kot podroben pregled sistema, ki ga opravi skupina strokovnjakov. Podrobno se pregledajo se vse komponente znotraj sistema (Slika 4.2). Namen študije je, da se ugotovi, kaj bi se zgodilo, če neka komponenta deluje izven predpisanih pogojev. Vse ugotovitve se zapišejo v vnaprej pripravljene protokole. Slika 4.2 HAZOP Metoda sistematične analize nevarnosti med obratovanjem ETA&FTA: Event and Fault Tree Analysis. Analiza drevesa napak temelji na risanju diagrama drevesa napak, kjer je neželjeno stanje nekega sistema analizirano z uporabo Boolove logike. Neželjeno stanje nastopa v korenu drevesa, vsi možni vzroki za to stanje pa so navedeni kot listi v drevesu, povezani z logičnimi operatorji. S to metodo ugotovimo odvisnost med vzroki za odpoved sistema. (Slika 4.3).

23 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 11 Slika 4.3 Primer FTA Analiza drevesa napak 4.1 Tehnike za zaznavanje napak Obstaja več tehnik za zaznavanje napak v sistemih v realnem času. Najpomembnejše med njimi so navedene v nadaljevanju Funkcionalno preverjanje Funkcionalno preverjanje (angl. Functionality checking): Vključuje množico diagnostičnih rutin, ki občasno preverjajo stanje komponent sistema (npr. procesorje, pomnilnike, vhodno/izhodne vmesnike, komunikacijske povezave in druge). Slabost takšnega pristopa je v tem, da povzroča močno interferenco v delovanju sistema ter se zato običajno uporabi le ob zagonu sistema Preverjanje smiselnosti podatkov Preverjanje samiselnosti podatkov (angl. Consistency checking): Na osnovi poznavanja delovanja sistema lahko ocenimo pričakovane vrednosti signalov in podatkov v sistemu med izvajanjem. V najpreprostejših izvedbah preverjamo ali je vrednost podatka v nekih vnaprej predpisanih okvirjih. V kompleksnejših primerih lahko opazujemo tudi dinamiko spreminjanja podatkov.

24 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran Primerjanje vrednosti Primerjanje vrednosti (angl. Checking pairs): Če sistem vsebuje redundantne komponente, lahko s primerjanjem podatkov, ki jih producirajo te komponente, zaznamo odstopanja v eni izmed njih. Čeprav je tak pristop zelo učinkovit, pa bistveno povečuje stroške izgradnje sistema. Namesto redundantnih komponent lahko uporabimo tudi druge izvore za gereriranje primerjalnih vrednosti podatkov. Tako lahko pričakovano vrednost nekega podatka ekstrapoliramo iz vrednosti drugih senzorjev v sistemu na osnovi poznavanja fizikalnih in drugih lasnosti delovanja sistema Informacijska redundanca Informacijska redundanca (angl. Information redundancy): Pri tem pristopu vsak podatek dopolnimo z redundantno informacijo, ki zagotavlja detekcijo napak. Primera tašne redundance sta kontrolna vsota (CRC) in pariteta. Ta pristop omogoča odkrivanje napak v komponentah, ki sodelujejo pri prenosu ali shranjevanju podatka, ne zagotavljajo pa pravilnosti samega podatka Preverjanje s povratno zanko Preverjanje s povratno zanko (angl. Loop back testing): Pri tem pristopku vsako informacijo, ki smo jo poslali, ponovno sprejmemo po povratni zanki in ugotavljamo razlike. Takšen pristop upočasni izmenjavo podatkov in običajno zahteva podvojene komunikacijske kapacitete Nadzorovanje vodil Nadzorovanje vodil (angl. Bus monitoring): Pri tej tehniki opazujemo signale na komunikacijskih vodilih in preverjamo ali se spreminjajo v skladu s specifikacijami. Tako lahko zaznamo npr. nedovoljeno kombinacijo signalov, pa tudi primere, ko je trajanje nekega signala predolgo ali prekratko Časovno preverjanje Časovno preverjanje (angl. Watchdog timers): To tehniko uporabimo pri odkrivanju napak v periodičnih opravilih. Na začetku vsake periode sprožimo časovnik s časom izteka, ki je nekoliko daljši od periode. Če iz kakrkšnega koli razloga opravilo ne bo ponovno zagnano, se bo časovnik iztekel in signaliziral napako. Ta pristop lahko posplošimo tudi na druge dogodke v sistemu za katere je pomembno, da se izvedejo v predpisanem času. Uvede se lahko tudi periodični testni signal.

25 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran Odpornost na napake Odpornost na napake (angl. Fault tolerance): Zanesljivost sistema se poveća z redundanco sistema, kar pomeni, da se sistem podvoji oziroma izvede se več modulov za isto funkcijo. Uporabno je tudi za delovanje v primeru, da je en sistem mnogo robustnejši kot drugi. V normalnem delovanju preprostejši služi za monitoring, v primeru bistvene razlike v delovanju pa se upošteva kot rezultat. Lahko imamo tudi več kot dva sistema ali modula. Pri izbiri podvojitve ali več kot podvojitve modulov (n-modular redundancy) se upošteva dva od treh ali tri od petih rezultatov. Praviloma naj število modulov ali sistemov ne preseže števila Redundanca in mnogovrstnost Zanesljivost običajno dosežemo z redundanco (angl. redundancy) in mnogovrstnostjo (angl. diversity). Poznamo več vrst redundance: o strojna redundanca, o programska redundanca: različne implementacije rutin v reševalnih blokih (angl. Recovery block), o informacijska redundanca in o časovna redundanca: ponovitev izvajanja v primeru napake (npr. za reševanje trenutnih napak). Mnogovrstnost: za preprečevanje istovrstnih napak (angl. common mode failures) je nujno, da so redundantne rešitve različno načrtovane in različno izvedene; po možnosti tečejo na različnih platformah! Pri tem je potrebno začeti z ločeno zasnovanimi specifikacijami. V primeru napake v specifikacijah lahko formalno dokažemo pravilnost napačnega sistema Dopuščanje napak s strojnimi ukrepi Dopuščanje napak s strojnimi ukrepi (HW Fault Tolerance): Obstajajo trije pristopi: Statično: primerjajo se rezultati več modulov (n - modular redundancy) in če najdemo napako, preprečimo njihovo razširjanje naprej v sistem. Dinamično: skušamo odkriti napake na vhodu, namesto preprečevanja širjenja napačnih rezultatov. Naprimer preverjamo smiselnost vhodov in preklopimo na alternativni vir v primeru napake. V tem primeru je za obdelavo signalov potreben samo en sistem. Hibridno: kombinacija statičnega in dinamičnega pristopa.

26 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 14 Statični pristop je dražji in počasnejši od dinamičnega, a je za izvedbo preprostejši. Za dinamični pristop potrebujemo znanje o smiselnosti signalov oziroma podatkov Dopuščanje napak s programskimi ukrepi Dopuščanje napak s programskimi ukrepi (SW Fault Tolerance): Ne gre le za dopuščanje napak v programski opremi! Pomemben pristop je programiranje v n verzijah in reševalni bloki. Programiranje v n verzijah (angl. n-version programming): spominja malo na strojno redundanco. Pomembna je mnogoličnost (angl. diversity) od snovanja specifikacij naprej. Problem se rešuje z n programi, ki so na istem ali različnih procesorjih. Če se rezultati ne ujemajo se rezultat blokira. Reševalni bloki (angl. recovery blocks): za reševanje problema se izdela n verzij programa. Če prva verzija problema ne reši dosledno, izvajanje vrnemo na začetek bloka in vzpostavimo notranje stanje, kot je bilo ob vstopu v blok; to ponavljamo, dokler ne rešimo problema. Če je neuspešna zadnja verzija, je cel blok neuspešen. Bloki se lahko organizirajo na več nivojih! Problem nastopi, če neka verzija med izvajanjem ireverzibilno spremeni globalno stanje, naprimer če nastavi izhodno vrednost.

27 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 15 5 IMPLEMENTACIJA SISTEMA ZA ZAZNAVANJE NAPAK Večino opisanih tehnik za zaznavo napak lahko implementiramo na najrazličnejše načine. 5.1 Zbirka testnih rutin V najpreprostejšem primeru uporabimo zbirko testnih rutin, ki jih vključimo v aplikacijsko kodo krmilnega sistema. Slabost takšnega pristopa je, da posega v delovanje samega sistema, same rutine pa so lahko v nekaterih primerih tudi vir novih napak. Takšen pristop mora biti vgrajen v razvojno orodje, ki ga uporabljamo za implementacijo sistema. 5.2 Uporaba namenske strojne opreme Drugi način implementacije detekcije napak je uporaba namenske strojne opreme. V tem primeru na osnovni sistem priključimo dodatne nadzorne komponente, ki opazujejo delovanje sistema brez, da bi bistveno vplivali na njegovo normalno delovanje. Pri tem ne opazujemo samo prisotnost ali odsotnost določenih signalov temveč tudi vsebino podatkov, ki se prenašajo po sistemu. Primer takšne klasične nadzorne komponente je nadzornik paritete. Nadzornik ne vpliva na hitrost prenosa podatkov in ne povzroča interference v izvajanji aplikacijskega programa. Pomanjkljivost strojne izvedbe detekcije napak je v tem, da ne moremo zagotoviti nadzora komplešnejših operacij v sistemu. Če so naprimer opazovane veličine realna števila, potem je lahko izdelava ustreznega nadzornega vezja zelo kompleksna. Podobno je, ko delamo primerjavo med signali, ki jih producira sistem in ocenjenimi vrednostmi, ki jih dobimo iz modela sistema. Izvedba modela sistema je lahko zelo kompleksna. Zaradi zgoraj navedenega, je v praksi najbolje uporabiti kombinacijo obeh pristopov. Parametre sistema, ki ne zahtevajo kompleksnosti v opazovanju rešimo s pomočjo strojne opreme, kompleksnejši nadzor pa prepustimo programskim rešitvam. Detekcija napak za nek vgrajen sistem je zelo odvisna od funkcionalnosti tega sistema. Zato lahko, razen v nekaterih enostavnih primerih, izvedbo detekcije napak posplošimo in avtomatiziramo samo do neke mere. Pri tem nam lahko v veliki meri pomaga uporaba FPGA ali PSoC vezij s katerimi se lahko hitro prilagodimo zahtevam konkretnega krmilnega sistema.

28 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran Zaznavanje nepravilnosti s spremljanjem stanja celice Za zaznavanje napak je treba uporabiti neke vrste zanesljiv podsistem za nadzor, ki odkriva nepravilnosti in sproži ustrezne korektivne ukrepe. Zaradi kompleksnosti, ki je povezana z varnostjo sistema, je potrebno, da se ta podsistem za nadzor razvija, ocenjuje in izvede neodvisno a hkrati z funkcionalnim delom Opis postopka spremljanje celice Za zaznavanje napak spremljamo komponento, ki jo imenujemo celica, sam postopek pa spremljanje celice (angl. monitoring cell MC). MC predstavlja za nadzornika funkcijo nadzora. V zgodnji fazi razvoja sistema je MC predstavljen kot abstraktni objekt (Slika 5.1). Kasneje se MC izvede s strojno in/ali programsko opremo. V diplomi je predstavljen MC koncept za vgrajene nadzorne sisteme, ki je izveden v strojni opremi bodisi z uporabo diskretnih komponent s programirljivim poljem (FPGA) ali programirljivim sistemom na čipu (PSoC). c,d x MC (E) m y control function (F) Slika 5.1 Koncept MC celice MC mora odkriti napako med delovanjem sistema, ki jih je najtežje odkriti, saj so posledica nepredvidljivih dogodkov ali pa cele verige dogodkov. Eden od načinov za odkrivanje napak je tudi nenehno preverjanje ali je stanje sistema v razumnih mejah. Problem se pojavi pri prepoznavanju normalnega delovanja. Za normalno delovanje se sistem opazuje in se beležijo vsi vhodi, izhodi in vsa notranja stanja sistema, za katere predvidevamo, da vplivajo na prihodnje delovanje sistema. Na podlagi posnetkov stanj sistema, ki jo imenujemo tehnika strojnega učenja

29 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 17 (angl. machine learning technique), dobimo normalno obnašanje sistema. S pomočjo evolucijskih algoritmov (EA) se lahko opravi učenje o normalnem delovanju sistema [3]. Nadzorni sistem je običajno razdeljen v več dobro definiranih podprocesov ali opravil (angl. task) in jih imenujemo nadzorna celica (angl. control cell). Vsako opravilo opravlja določeno funkcijo, kije del celotnega nadzora sistema. Posamezna opravila zajemajo svoje vhodne podatke iz senzorjev in/ali rezultate drugih procesov, jih procesirajo in so na razpolago drugim procesom ali opravilom, ki nadzorujejo sistem preko atenuatorjev ali drugih izhodov. Opravila so prožena kot sinhroni ali asinhroni dogodki. Vzroki za napake v takšnih sistemih so napake strojne ali programske opreme, lahko pa so tudi vhodni in izhodni signali izven predpisanih vrednosti. Tudi časovno neprimerno obnašanje sistema v strogem realnem času je napaka v sistemu. Obstajajo še ne tako očitene napake kot je naprimer prevelika ali premala strmina signala ali pa prepogostost dogodkov. Osnovna naloga opravila, ki spremlja stanje celice, je spremljanje vrednosti vhodov x, izhodov y ter po možnosti še notranja stanja m nadzornega procesa. Kot rezultat je pravilnost c, ki se prenese na višji nivo upravljanja z napakami, da se lahko ta nivo odloči kaj mora storit ob napaki. Če je to izvedljivo se generira tudi dodatni diagnostični parameter d (Slika 5.1). Nadzorna celica (CC control cell), ki je pod stalnim nadzorom, mora imeti fizični dostop do vhodnih in izhodnih signalov. Nadzorna funkcija, ki jo vsebuje ta nadzorovana celica mora imeti jasno in natančno definirano relacijo med vhodi in izhodi. MC celica, ki spremlja nadzorno celico je predstavljena kot siva škatla z določenim obnašanjem navzven in delno poznano notranjo strukturo, ki jo lahko opazujemo preko določenih notranjih stanj. Obstaja več razlogov za takšno odločitev: Opazovana komponenta ne rabi biti črna škatla, kar pomeni, da nekaj vemo o njej in njenem obnašanju ob napakah, Če bi bila bela škatla, bi bila prekomplicirana in preobsežna. V tem primeru bi morali MC vgraditi v originalni program in strojno opremo, kar bi lahko povzročilo težave v komunikaciji, povečali bi kompleksnost ter hkrati zmanjšali učinkovitost nadzora, kar pa je v nasprotju s tem kar hočemo doseči. V primeru, da bi izbrali koncept bele škatle nad istimi viri, bi uvedli novo točko, kjer se lahko zgodijo napake. Z jasno ločitvijo med CC in MC celico znatno poenostavimo načrtovanje. Obe funkciji se lahko razvijata hkrati in z različnimi razvojniki in na ta način tudi do neke mere povečamo zanesljivost.

30 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 18 V primeru, da imamo komponento z dobro definirano nadzorno funkcijo, nič pa ne vemo o njeni notranji strukturi, lahko govorimo o črni škatli in predlagam, da se izvede ločena strojna oprema za CC celico in MC celico. Na ta način je implementacija lahko dražja, vendar je aplikacija varnejša in manj zahtevna. Za opazovanje sive škatle je potrebno opazovat vhode, izhode in notranja stanja. To pa lahko dosežemo tako, da uporabimo standardni vzporedni ali zaporedni vmesnik v CC celici,ali pa uporabimo kakšen novejši način komuniciranja s pomočjo JTAG vmesnika in podobne koncepte kot so razhroščevanje v ozadju s hitrimi zaporednimi razhroščevalnimi vmesniki, ki jih uporabljajo novejše CPU enote [4]. MC celica mora biti manj zapletena kot CC celica, saj je njena funkcija samo opazovanje, beleženje in primerjanje z vnaprej pripravljenimi podatki ter komunikacija z nadrejenim nivojem. Osnovno vodilo pri konstrukciji MC celice je, da je preprosta, zanesljiva in je komponenta z malo verjetnostjo napake v delovanju. Posledica teh pogojev je, da je omejena kompleksnost spremljajočih funkcij (naprimer aritmetika brez plavajoče vejice). Prednost teh omejitev pa je v dejstvu, da so sistemi enostavnejši in lažje formalno preverljivi ter tako primerni za certificiranje. Ko MC celica zazna nenormalno delovanje CC celice, poskuša zbrati čim več podrobnosti o tem in vse skupaj pošlje v višji nivo odločanja kot diagnostični signal (d), ki se odda skupaj s signalu o pravilnosti (c) Ocena spremljajočih signalov Na sliki (Slika 5.1) je razvidno, da nadzorna funkcija deluje nad vhodnimi vrednostmi x in dostopnimi notranjimi vrednostmi m za generiranje izhodne vrednosti y. Predvideva se, da sistem deluje v diskretnem času (PLC način delovanja). Nadzorna funkcija pridobi vhodne vrednosti x v določenem trenutku t in z nekaj zakasnitve daje izhodne rezultate y in posodobi notranja stanja m. V tem času ni obravnave novih vhodnih vrednosti. S štetjem časovnih intervalov lahko pojem časa zmanjšamo na diskretne vrednosti (cela števila), kot je prikazano v (5.1), kjer F predstavlja nadzorno funkcijo, x so vhodne vrednosti, y so izhodne vrednosti, m so notranja stanja in t je čas: (5.1) (y (t + 1), m (t + 1)) = F (x(t), m (t)); t I N MC pridobi tekoče vhodne podatke x na začetku cikla v trenutku t, sočasno prebere vhode tudi nadzorna funkcija F. Na koncu cikla, ki je hkrati začetek naslednjega cikla, so v trenutku (t+1) pripravljeni rezultati y in notranja stanja m CC celice in jih MC celica oceni in pošlje v nadrejene nivoje odločanja. V tem primeru MC celica opravlja funkcijo E (5.2):

31 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 19 (5.2) E (x (t), m (t), x (t + 1), m (t + 1), y (t + 1)) Funkcija E lahko deluje z novimi in starimi vrednostmi za vhode (x(t), x(t+1)) in notranja stanja (m(t), m(t+1)), kar omogoča zgodnje (t+1) odkrivanje neskladij z vnaprej predpisanimi vredostmi za vhode x in notranja stanja m. MC deluje nad tremi skupinami podatkov: x, m, y, prvi dve celo v trenutku t in t+1, kar pomeni, da so ti podatki osnova za ocenjevanje normalnega delovanja sistema. Da bi poenostavili nadaljno obravnavo, se vsi vhodi v MC celico poimenujejo signali s, in na podlagi tega, se funkcija E v () preimenuje v E(s(t)). Za enostavnejšo analizo in enostavnejšo implementacijo MC celice se funkcijo E(s(t)) razčleni na preprostejše dele E part, ki ocenjujejo posamezne dele CC celice in nato se te delne ocene združi v končno oceno. V primeru, da je katera od teh delnih ocen negativna, mora tudi skupna ocena nosit to informacijo. Minimalistična izvedba delovanja funkcije E je takšna, da preverja samo en signal. Informacije o osnovnih lastnostih in obnašanju tega signala se pridobijo iz sistemskih specifikacij, tehnične dokumentacije ali podobnih virov. Na ta način lahko pridobimo vsaj informacijo o veljavnih in neveljavnih vrednostih podatkov, kar pa je včasih tudi edina informacija, ki jo lahko dobimo. Samo na podlagi informacije o veljavnosti in neveljavnosti podatkov, je zelo težko razvrstiti signale v razred veljavnih ali neveljavnih. Vendar pa ni vedno možno najti natančne meje med veljavnim in neveljavnim stanjem signala, zato je potrebno uvesti dodatne pogoje za področja kjer ni možna enolična odločitev. Tako pridemo do novega definicijskega področja ocenjevanja delne funkcije E i (5.3), ki določa pravilnosti c i signala: (5.3) c i = E i ( s i ) c i C={veljaven, neveljaven, nedoločen} Takšna definicija nam omogoča oceniti vsak signal. Na primer, vsako vhodno (pokvarjen senzor) in/ali izhodno vrednost lahko zaznamo in preprečimo. Pri tem je potrebno opraviti temeljitejše ocenjevanje signala, da se prepričamo ali je rezultat v skladu z vhodnimi vrednostmi. Torej moramo več vedeti o obnašanju sistema, kar lahko naredimo s pomočjo analitskih metod, s katerimi ocenimo obnašanje sistema. Teoretično je možno upoštevati vse možne kombinacije signalov, čeprav je ta analiza zelo kompleksna. Lahko pa naredimo delno analizo signalov in se določijo pari signalov, med katerimi je delna povezava (korelacija). Naredimo lahko poenostavitve za določene korelacijske pare signalov in zapišemo novo funkcijo E ij (5.4): (5.4) c ij = E ij (s i, s j ), c ij C

32 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 20 če nismo zadovoljni z rezultatom korelacije določenega para signalov, lahko izvedemo korelacijo nad tremi ali večimi signali Vzpostavitev spremljevalne funkcije Spremljevalno funkcijo E je včasih zelo težko ali celo nemogoče analitično določiti. To se zgodi v primeru, ko nimamo dovolj podrobnih podatkov o obnašanju sistema. V takšnem in podobnih primerih se lahko poslužimo strojnega učenja (ML), da določimo spremljevalno funkcijo. ML temelji na tem, da opazujemo CC celico, beležimo njeno normalno delovanje in tako dobimo učno množico L (točke v iskalnem prostoru S) (Slika 5.22). Vsaka točka v učni množici L predstavlja vhodni signal s za MC celico. Odločitveni model lahko konstruiramo s pomočjo ML in analitičnega pristopa in ta model se potem uporabi za generiranje ocene c za vsak signal s. Procesne omejitve MC celice nas prisilijo k ne preveč kompliciranim rešitvam. y ovojnica L S x Slika 5.2 Področje učne množice L Odločitveni model mora razdeliti iskalni prostor S na podprostore - grozde (clusters). Grozd je majhna skupina signalov istega tipa. Ustvarjeni grozd bi moral vključevati vzorce iz L in bi moral predstavljati veljavni podprostor, podprostoru izven grozda pa pripadajo neveljavne točke. Glavni problem grozdov je, da jih je računsko teško določiti in tudi uporabljati. Slika 5.22 predstavlja učno množico L, ki je del iskalnega prostora S. Za človeka je zelo preprosto iz te množice S ustvarit

33 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 21 grozd učne množice L s tem, da povleče ovojnico, ki zaobjame tisti prostor (abstraktno razmišljanje), ki vsebuje veljavne točke. Za stroj predstavlja ta ista operacija neverjetno težek problem Hiper kocka Najenostavnejša in najhitrejša spremljevalna funkcija za MC celico, ki se izvaja na preprosti strojni opremi in uporablja grozde, je v obliki pravokotne hiper kocke. Hiper kocka je omejena z dvema hiper ravninama v vseh razsežnostih. Da se izognemo problemom pri ustvarjanju in obdelovanju n razsežnostne hiper kocke, se omejimo samo na dvo dimenzijsko hiper kocko (s i, s j ). Ker je bil vsak par signalov ocenjen posamezno, je diskretizacija signala s i odvisna tudi od signala s j in obratno. Ta delitev izkorišča korelacijo med posameznimi parametri. Omejujoča hiper kocka je določena z razponom vrednosti spodnjo in zgornjo vrednostjo, kar je obenem tudi najenostavnejši primer validacije. Naloga modela je, da poišče posamezne podprostore v omejujoči hiper kocki. Konfiguracijo hiper kock moramo določiti preden določimo konfiguracijo MC celice, zato ker mora MC celica imeti dovolj procesorske moči za implementacijo hiper kock predvidenih velikosti in leg. Maksimalno število hiper kock je določeno s strojno opremo. Cel postopek je optimizacija iskanja največjih kock, ki napravijo najmanjšo napako. Napako modela predstavlja črtan prostor (Slika 5.33) med množico L in mejo posamezne hiper kocke. Prikazan je primer ko smo izbrali tri hiper kocke (H 1, H 2 in H 3 ), ki pokrivajo vse učne vzorce v množici L (Slika 5.3). s j H 2 H 3 L H 1 Sij Slika 5.3 Primer pretvorbe množice S ij v več hiper kock (H1, H2, H3) s i

34 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran Konstruiranje hiper kocke Izdelana hiper kocka velja samo za učno množico L, na žalost pa ta množica ne vsebuje vseh možnih signalov, oziroma nekaterih sploh ne vsebuje, na primer neveljavnih signalov. Nemogoče je zbrati vse pravilne in nepravilne signale, ker potem ne bi bilo potrebno uvesti odkrivanja napak. Neveljavne točke so edino merilo napake pri določanju hiper kocke znotraj omejujoče hiper kocke. Če ni človek podal obsegov grozdov, potem mora zato poskrbet algoritem. Trivialna hiper kocka, ki obsega vse točke iz učne množice L je sama obsegajoča hiper kocka. Če je kriterij izključevanje neveljavnega prostora, potem je potrebno ta neveljavni prostor najprej razpoznati. Na splošno ni možno trditi, da je posamezna točka veljavna ali ne; če je dovolj blizu veljavne točke, potem je verjetno veljavna. Pri tem se pojavi vprašanje Kako daleč, je še dovolj blizu?. Poznamo dve metodi: V prvi metodi opravimo optimizacijo tako, da ustvarimo več manjših podprostorov in zajamemo celoteno učno množico L. V tem primeru dobimo več pozitivnih hiper kock (H 1, H 2 in H 3 ), ki skupaj sestavljajo pozitiven podprostor V + = H i (Slika 5.3). V drugi metodi problem obrnemo. Ustvarimo več negativnih hiper kock, ki ne zajemajo učne množice L (X 1, X 2 in X 3 ) (Slika 5.4 ) in ustvarimo negativni hiper podprostor V --- = X i. s j X 3 X 1 L Sij X 2 Slika 5.4 Negativni hiper prostor V --- = X i s i Vsak signal, ki je izven meja kocke je spoznan kot neveljaven, pravtako so neveljavne vse točke, ki so znotraj V --- prostora, vse druge točke pa so lahko veljavne. Pri obeh metodah (V --- in V + ) je težnja po minimiziranju napake, ki nastane zaradi modela grozdov. Enotni kriterij za optimizacijo, je možno doseči na več načinov, eden od teh je uporaba evolucijskih algoritmov.

35 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran Evolucijski algoritmi Proces biološke evolucije z naravno selekcijo se lahko šteje kot postopek za iskanje boljših rešitev, ki upošteva vpliv nekaterih zunanjih okoliščin. Biološka evolucija to prilagoditev opravi s pomočjo spremembe dednega zapisa posameznega primerka in tako včasih nova populacija vsebuje boljše rešitve kot originali. Ker so naravna bitja lahko skupek zelo kompliciranih mehanizmov, ki se v biološki evoluciji uspejo razviti pomeni, da narava rešuje zelo zapletene optimizacijske probleme (optimalne prilagoditve na zunanje zahteve) [2]. Preseneča pa preprostost, s katero deluje. Generiranje nove populacije temelji na treh postopkih: Mutacija dednega zapisa je v naravi neusmerjen proces in ima namen iskati izključujoče rešitve. Če to prenesemo v svet matematike, je variacija ekvivalent mutacije in pomeni iskanje optimuma (pomembno v optimizaciji). Rekombinacija, križanje dednega zapisa, išče rešitve na ozkem področju. V naravi rekombinacija ni nujna (nespolno razmnoževanje, vegetativno razmnoževanje, novi osebek je genetsko gledano njegov klon), je pa prisotna pri veliko vrstah. Selekcija usmerja evolucijo. Od nje je odvisno v katero smer se bo spreminjal dedni zapis. Brez motenj v razvoju bi bila selekcija deterministični pojav, vedno napredujoč. Vendar se lahko zgodi, da tudi najprilagodljivejši primerki nimajo potomcev (nesreče zaradi ). V šestdesetih letih dvajsetega stoletja so začeli uporabljati načela biološke evolucije v optimizacijskih metodah. Od takrat so pojmi kot so genetski algoritmi, evolucijsko programiranje in evolucijsko strateški algoritmi; s skupnim imenom evolucijski algoritmi (EA). Njihova poanta je, da pri svojem delovanju posnemajo biološko evolucijo za iskanje optimalnih rešitev problema. Evolucijskih algoritmi so uporabnejši od specialnih algoritmov, vendar so precej počasni. Uporabni so na področju zelo zahtevnih problemov, kot so nelinearnost, diskontinuiteta in multimodalnost. Prednost evolucijskih algoritmov je v tem, da nastopa velika populacija rešitev in odsotnost predsodkov. Prednosti in slabosti evolucijskih algoritmov lahko strnemo v par točk: Možno je hkratno iskanje rešitve v celotni populaciji, rezultat je več potencialnih rešitev.

36 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 24 Iskanje temelji na neusmerjenem iskanju, kar pomeni, da ni predpisane smeri v katero se išče, algoritem najde rešitev tudi za nelinearne in diskontinuirane probleme. Za implementacijo teh algoritmov ni potreben super računalnik. Velika slabost evolucijskih algoritmov je, da je potrebno nekaj sreče za to, da dobimo hitro in kvalitetno rešitev. Podobne tehnike EA se razlikujejo v podrobnostih izvajanja in sami naravi problema. Za optimizacijo hiper kocke se lahko uporabljajo vse EA metode. Vendar so najbolj preproste genetski algoritmi (GA [3]) in diferencialna evolucija (DE [6]). Za negativni model V -, moramo izbrati takšno prilagoditveno funkcijo f(v - ), ki daje prednost večjemu hiper prostoru V -, v katerem ni veljavnih signalov. Najpreprosteje je, da velikost hiper prostora V - delimo z napako ε(v-). Napaka predstavlja števec signalov znotraj V -. (5.5) f ( V ) V ε ( V ) + 1 = Za pozitivni model V +, pa mora prilagoditvena funkcija izbirati čim manjši V + (5.6) s čim manj napakami. (5.6) f ( V + ) + S V ε ( V ) + 1 = + Velikost dopustne napake v obeh primerih je odvisna od poznavanja problema, ekonomije, razpoložljivih virov, itd. Na primeru se vidi rezultat izbire štirih hiper kock za dva nelinearna signala, eden vhodni in eden izhodni (Slika 5.5). Opazovana celica ima nelinearno karakteristiko logaritemsko karakteristiko. Učni algoritem je bil diferencialna evolucija (DE), ki je operiral s pozitivnim prilagoditvenim modelom V + (5.6) in ponovitvami in vnaprej nastavljenimi DE vrednostmi. Uporabljena je bila off-line DE, generirale so se štiri hiper kocke, ki vključujejo 42 različnih dvodimenzionalnih signalov z resolucijo 12 bitov. V tem primeru se je pokazal boljši pozitiven model kot negativen, to pa zato ker so točke razmeroma blizu skupaj.

37 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran Slika 5.5 Pozitivni model za štiri hiper kocke za dva nelinearna signala

38 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 26 6 PRIMERI IMPLEMENTACIJE Implementacija MC se lahko izvede na več načinov. V primeru, da nam je dostopna izvorna koda programa, se lahko le-ta spremeni in se MC izvaja kot opazovalne rutine, ki se izvaja skupaj s programsko opremo na isti strojni opremi in istih virih. Ko je prebran vhodni podatek, se zažene rutina za predhodno ocenitev. Ta rutina oceni posamezni signal, jih kvantizira in shrani za poznejšo obdelavo. Prav tako se požene končna ocenitvena rutina po generiranju izhodov. Lahko se preveri tudi preslikava med vhodi in izhodi. Glavna pomankljivost tega načina je, da smo lahko s temi rutinami spremenili časovni potek osnovnega programa in s tem peredpisane časovne lastnosti aplikacije. Višjo stopnjo zanesljivosti in fleksibilnosti lahko dosežemo z namensko strojno opremo. Neprekinjeno zniževanje stroškov za strojno opremo opravičuje ta pristop. Takšna naprava za spremljanje mora delovati v dveh načinih: Prvi način: meriti in beležiti mora vhode in izhode. Ti podatki se potem uporabijo za učenje (ML) in za gradnjo spremljevalne funkcije, Drugi način: opravlja se dejanski nadzor nadzornega sistema. Z uporabo iste naprave tako za vzorčenje kot vrednotenje, se izognemo razlikam strojne oprem med postopkom merjenja in spremljanja. Konceptualni diagram za spremljanje izvajanja MC strojne opreme prikazuje slika (Slika 6.1). Signali iz nadzornega sistema s so povezani na nabor registrov (predstavlja dvofazni FIFO bafer) tako, da lahko zadržijo sedanjo vrednost s(t) in predhodno vrednost s(t-1). Analogne in številčne vrednosti so priklopljene na kvantizatorje signalov(q bloki). Vsak kvantiztor pretvori vhod v ustrezno diskretno vrednost, da se poenostavi ocenjevanje. Te transformacije so bile določene v fazi učenja. Signali s lahko vsebujejo tudi nekatera notranja stanja m nadzornega sistema. Pri strojni implementaciji MC celice pa morajo biti definirani posebni vhodi za zajemanje sedanjih notranjih stanj CC celice. V bloku s(t) se nadzoruje dinamika signalov in njegov izhod je informacija, ki pomaga pri oceni sistema. Vzporedno s funkcijo spremljanja signalov CC celice, lahko opazujemo tudi nekatere fizikalne lastnosti CC celice (npr. naraščanje temperature ali porabe, ). Možnost je tudi preveriti časovne lastnosti opazovanega procesa z uporabo preprostih časovnikov (watchdog timers).

39 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 27 Komponenta MC je sinhronizirana na zunanje signale za sinhronizacijo, s preprostim sekvencerjem. Sinhronizacijski signali kažejo vedno na začetek vsakega izvedenega cikla. Če takšen sinhronizacijski signal ne obstaja, ga lahko generiramo s pomočjo drugih signalov (nadzorna linija I/O naprave). Signali kot so reset, power-save, itd. morajo vlogo tudi pri določanju načina delovanja MC celice MC celica ne deluje vedno enako. Ocenjevalna logika generira signala c in d. V glavnem signal c podaja status, ki opozarja na (morebitne) napake v sistemu. V večini primerov je za generiranje signala c dovolj osnovna logika. Nekaj več podrobnosti o stanju napake nam pove signal d. Za generiranje signala d je potrebna kompleksnejša logika. Slika 6.1 Implementacija MC celice MC celica je v diplomskem delu implementirana na dva načina: s pomočjo diskretnih komponent (A/D pretvornik in FPGA), s programirljivim PSoc (Cypress PSOC).

40 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran Uporaba FPGA vezij FPGA je kratica za programirljivo matriko logičnih vrat in ta vezja spadajo med specialna programirljiva vezja Zgodovina, trenutno stanje in prihodnost FPGA vezij Specialna programirljiva vezja se delijo na: Klasična PLD vezja PAL, GAL(PALCE): vezja so sestavljena iz več IN/ALI matrik. Na izhodih posameznih funkcij so lahko flip-flopi. Predstavljajo omejene zmogljivosti, ker ne moremopoljubno povečevati PLD strukturo, površina vezja se veča s kvadratom števila vhodov in logika postane znatno počasnejša. Kompleksna PLD vezja CPLD: vsebujejo več PLD gradnikov z relativno majhnim številom internih povezav. Potrebujemo specialno programsko opremo, ki zna razdeliti vezje na manjše PLD gradnike. Električno programirljiva polja vrat FPGA: pojavila so se istočasno s PCLD vezji, vendar je razlika v tem, da imajo večje možnosti internega povezovanja. Sestavljena so iz velikega števila programirljivih blokov, ki imajo manjše število vhodov in enega ali dva flip-flopa na izhodih (CLB). Najpopularnejši proizvajalci FPGA vezij so Xilinx, Altera in Lattice. Vendar pa je potrebno vedeti, da se FPGA vezja razlikujejo tako po proizvajalcih, kakor tudi po produktih istega proizvajalca. V splošnem pa velja, da so FPGA vezja sestavljena iz matrike logičnih blokov. Povezovalno polje med logičnimi bloki se imenuje stikalna matrika in vsebuje veliko število povezav. Te stikalne matrike so izvedene s pomočjo MOS tranzistorjev, ki delujejo kot stikala. Konfiguracijski pomnilnik določa povezave in obnašanje logičnih blokov. Proizvajalec Xilinx ima na razpolago dve družini FPGA vezij: Virtex: Virtex-6, Virtex-5, Virtex-5Q, Virtex-4, Virtex-4Q, Virtex-4QV, Virtex-II Pro Virtex-II, Virtex-E EM, Virtex-E, Virtex. Spartan: Spartan-6, Extended Spartan-3A, Spartan-3A DSP, Spartan-3AN, Spartan-3A Spartan-3E, Spartan-3.

41 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 29 V naslednjih dveh tabelah (Tabela 1 in Tabela 2) je prikazana razlika med obema družinama: Spartan (crio-9072) Spartan (crio-9074) Virtex II 1000 (crio-9102, NI- 7831) Virtex II 3000 (crio-9104, NI- 7833) Size Speed Specialty Resources Good Better Best Tabela 1. Primerjava Xilinx družin Virtex in Spartan FPGA Family Resources Virtex II 1000 Virtex II 3000 Spartan Spartan Equivalent Gates 1 million 3 million 1 million 2 million LUTs/FFs 10,240 28,672 15,360 40,960 Multipliers Block RAM (Kb) 720 1, Tabela 2. Razpoložljivi viri posameznih družin Xilinx FPGA vezij Uporabnost FPGA vezij v sistemih za zaznavo napak FPGA vezja imajo veliko prednost v zmožnosti reprogramiranja povezovalnih matrik in rekonfiguracije CLB blokov [16]. Pri tem, da ostanejo nekatere stvari enake, kot so sistemska ura in vhodi ter izhodi, ki pa so določeni z zunanjo arhitekturo vezja. To pomeni, da lahko uporabimo strojno opremo za več različnih situacij pri zaznavanju napak v sistemih, saj lahko programiramo novo nadzorno funkcijo in jo vpišemo v FPGA vezje brez sprememb na strojni opremi.

42 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran Implementacija z FPGA MC celice je v tem primeru sestavljena iz A/D pretvornika in FPGA vezja (Slika 6.22). ta strojna oprema je bila uporabljena v drugih poskusih [8]. A/D je 12 bitni pretvornik Texas Instruments ADS7841E v podnožju SSOP16 (Slika 6.3Slika 1.1). Ima štiri vhodne kanale in uporablja zaporedni SPI vmesnik za komunikacijo z okolico. Njegova hitrost pretvarjanja analognega signal v digitalni je 200kSPS. vsebuje: Izbral sem FPGA vezje proizvajalca Xilinx z oznako SPARTAN XC2S200E-PQ208 [9], ki logičnih celic, sistemskih vrat (logika in RAM9, CLB polje velikosti 28x42, vsega skupaj je CLB blokov, maksimalno 284 V/I linij, ki so dostopne uporabniku, popolnoma dostopnih RAM bitov ter 56 kbitov popolnoma dostopni RAM blok. Vezje je grajeno za standardne hitrosti, predvideno je za delo v komercialnem temperaturnem področju (0 C do 85 C) in ima 208-pinsko plastično kvadratno ohišje. s A/D RANGE COMPARATORS [ ] [ ] [ ] [ ] STATE MACHINE EV. LOGIC µp c d FPGAA Slika 6.2 Diskretna izvedba MC logike za en analogni signal

43 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 31 Avtomat stanj (Slika 6.2) periodično generira nadzorne signale za proženje A/D pretvornika in ko je pretvorba končana prebere podatek. Z uporabo FPGA vezja je bilo mogoče izvesti več vzporednih avtomatov stanj, kjer je vsak prožil svoj A/D pretvornik. Na ta način se je zajemanje signalov opravljalo vzporedno. Zaradi zmanjšanja števila povezav je bil izbran A/D pretvornik s SPI vmesnikom. Od razpoložljivih 12 bitov pretvornika se je uporabilo samo zgornjih 6 bitov (kvantizacija) zato, da se je omejila zahtevnost tabel in izračunov pri določanju opazovalne funkcije (EA). Drugi podatki so se pridobili iz drugih avtomatov stanj, vendar znotraj periode. Ker pride do določenega zamika med vhodnimi in izhodnimi vrednostmi in ocenitvi dinamične karakteristike posameznega signala, gre vsak vzorec preko navadnega dvostopenskega FIFO ojačevalni vmesnik (angl. buffer). Pozneje, sta za oceno dostopni obe vrednosti. Osnovno vrednotenje se izvaja z nizom primerjalnikov. Vsak primerjalnik primerja ali je vhodna vrednost znotraj meja vnaprej določenega območja. Rezultat tega in drugih primerjalnikov se s pomočjo preproste Boolove logike oceni, ki pa je implementirana s pomočjo pravilnostnih tabel znotraj namenskega spominskega bloka FPGA vezja. Statusni signali se smatrajo kot naslovi za to pravilnostno tabelo, shranjena spominska vrednost pa predstavlja izhodno vrednost. Signal c določa ali so vsi signali v predvidenih mejah, signal d pa je vektor, ki podaja veljavnost posameznega vhoda. Vse konstante za primerjalnike področij in vrednosti za pravilnostne tabele se generirajo v naprej (angl. off-line) in se lahko spremenijo samo tako, da ponovno preprogramiramo FPGA vezje. Za implementacijo ocenjevalne logike in avtomata stanj za en kanal se uporabi približno 150 rezin (xc2s200 približno 70 CLB-jev, xc3s200 približno 35 CLB-jev). Za komunikacijo s sistemom za upravljanje z napakami je uporabljen preprost 8-bitni mikrokrmilnik (Microchip PIC16FL877A)(Slika 6.4). Njegova naloga je tudi inicializacijo ob zagonu in pridobitev prvih podatkov (aktiviranje avtomatov stanj). Uporablja se tudi za razhroščevanje napak in diagnostiko. Po želji je lahko ta mikrokrmilnik zunaji modul ali znotraj FPGA vezja.

44 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 32 Slika 6.3 Vezava A/D pretvornika Slika 6.4 Mikroprocesorska enota Na sliki (Slika 6.5) so prikazane povezave med raznimi vhodno/izhodnimi moduli, katerih eden je tudi A/D pretvornik, ki ga uporabljamo v aplikaciji. Na razpolago so še naslednji moduli:

45 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 33 D/A pretvorniki, stikala kot vhodi, LED diode kot izhodi, digitalni TTL vhodi, digitalni TTL izhodi ter digitalni TTL splošni vhodi/izhodi. Na digitalne TTL vhode bi lahko pripeljali notranja stanja sistema. Vsi moduli so med sabo povezani v komunikacijsko zanko zaporednega SPI vmesnika. Ocenjevalna funkcija se opravi v FPGA vezju. Rezultat se izpiše s pomočjo LED vmesnika in hkrati se pošlje po zaporedni komunikaciji TTCAN nadrejenemu sistemu za upravljanje z napakami. Slika 6.5 Osnovna plošča vmesnikov

46 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran Uporaba PSoC vezij PSoc je kratica za programabilni vgrajeni sistem (Slika 6.6), ki je sestavljen iz sestavljivih programabilnih analognih in digitalnih perifernih funkcij, raznih vrst spomina in mikrokrmilnika na enem čipu [13], [14]. Integrated LCD Drive Technology can drive up to 736 LCD segments with no external devices. PSoC is on-board voltage boost technology enables 3V to 5V LCD glass to be driven from a 0.5V pover source. CapSense components enable you to create styloh products with capacitive touchserving interfaces. CyFi TM 2.4 GHz Low-Power RF Is asimple and power-eficient DSSS solution delivering unmatched wireless reliability with 101 dbm of on-chip link boudget. High-Precision Programmable Analog. Up to 20-bit predision with State-of-the-Art Power reference voltage occuracy better Management. Extremly than ±0.1%. Ideal for wide 0.5V to 5.5V instrumentation and medical signal operating range, with processing. several low power modes. Multiple adjustable power and clock domeins. USB 2.0 support enables embedded systems to communicate instantly with USB-enabled devices. Contoller Area Network (CAN) Support. PSoC suports this interface, which is commonly used in automotive and industrial markets. Slika 6.6 PSoC lego sistem Zgodovina, trenutno stanje in prihodnost PSoC vezij Leta 1999 je bilo ustanovljeno podjetje Cypress Micro Systems Inc. kot konkurenca podjetju Microchip Technology na področju mikrokrmilnikov. Ideja je bila v tem, da uporabijo svoj mikrokrmilnik temelječ na USB tehnologiji. Med drugimi izdelki je tako nastal tudi izdelek s kratico PSoC (Programmable System on chip). Že leta 2002 so izdelali komercialno verzijo katere prodaja je v letu 2006 narasla na 100 miljonov enot. Septembra leta 2007 so prodali že 250 milijonov enot PSoC. Leta 2009 so presegli 500 milijonov kosov prodanih PSoC vezij in prodaja še vedno raste.

47 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 35 PSoC je programsko nastavljivo polje za delo z analognimi in digitalnimi signali, z vgrajenim MCU jedrom. Obstajajo tri različne družine (Slika 6.74): CY8C2xxx : PSoC1 z CPU M8C CY8C3xxx : PSoC3 z CPU 8051 CY8C5xxx : PSoC5 z CPU ARM Cortex M3 Slika 6.7 Zgradba PSoC vezja PSoC arhitektura je zgrajena iz vnaprej oblikovanih analognih in digitalnih blokov, vgrajenega CPU podsistema in programabilnih notranjih in zunanjih povezav. PSoC nam omogoča uporabo vnaprej definirane in preizkušene proizvajalčeve knjižnice funkcij ali pa si sprogramiramo svojo funkcijo, kar omogoča inovativnost in konkurenčno prednost našega izdelka. Imamo možnost ponovnega povezovanja signalov na uporabniško definirane priključke, tako lahko zmanjšamo obsežnost vnaprej pripravljenih perifernih krmilnikov. Poleg tega globalna vodila omogočajo multipleksiranje signalov in logične operacije brez zapletenih digitalnih logičnih vezij. Povezava nastavljivih analognih in digitalnih vezij je osnova platforme PSoC. Te bloke je možno nastaviti z uporabo vnaprej zgrajenih funkcij v knjižnici ali ustvarimo si lastno funkcijo. Z združevanjem več digitalnih blokov lahko ustvarimo 16, 24 ali celo 32-bitne ravni logičnih komponent. Analogni bloki so sestavljeni iz več kondenzatorskih stikal, operacijskih ojačevalnikov, primerjalnikov, ADC, DAC in digitalnih filtrov, kar nam omogoča generiranje zapletenih pretokov signalov.

48 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 36 Platforma PSoC nam ponuja CPU podsistem SRAM, EE PROM in flash pomnilnikom, več možnosti izbire jedra in več sistemskih virov kot so: Notranji glavni in nizko hitrostni (mala poraba energije) oscilator, Uporaba zunanjega kristalnega oscilatorja za natančno sistemsko uro, Način spanja (angl. sleep) in varnostni časovnik (angl. watch dog timers) in Več urnih virov, ki vključujejo PLL. PSoC naprave imajo tudi namenske komunikacijske vmesnike kot so zaporedni: I2C, hitri USB 2.0, CAN 2.0 vmesnik in on-chip zmogljivo razhroščevanje z uporabo JTAG in zaporednega Serial Wire Debug. Najnovejše PSoC naprave (PSoC3 in PSoC5) ponujajo izbiro med industrijsko standardnimi procesorji kot sta 8051 in ARM Cortex-M Uporabnost PSoC vezij v sistemih za zaznavo napak V sistemih za zaznavo napak so PSoC vezja zelo uporabna, saj lahko z njimi zajemamo tako digitalne kot analogne signale in jih procesiramo z 32-bitnim procesorjem in to vse na enem čipu. Nad analognimi signali lahko izvedemo predobdelavo na analognem nivoju s pomočjo operacijskih ojačevalnikov v vseh znanih oblikah. V digitalnih blokih lahko implementiramo vse vrste časovnikov, števcev ter druge digitalno orientirane module Implementacija s PSoC Rešitev z FPGA vezjem, je potrebovala za vsak analogni vhod svoj analogni čip. V tej implementaciji pa uporabimo programabilni sistem na enem čipu (PSoC), kar predstavlja bolj kompaktno rešitev in nižje tehnološke stroške. PSoC je sestavljen iz mikrokrmilnika ter konfigurabilnih analognih in digitalnih blokov. Uporabljen je PSoC CY8C29466 serije Mixed Signal Array. Koncept MC celice izvedene s pomočjo PSoC je prikazan na sliki (Slika 6.8).Vsak kanal je sestavljen iz PGA ojačevalnika in 6-bitnega SAR A/D pretvornika. PGA nam pomaga pri prilagoditvi objočja zajemanega signala. Na ta način je mogoče opazovati signale malih in velikih napetosti in menjavati med vhodi. Upoštevati je potrebno, da vhodna napetost ne presega napajalne napetosti, drugače moramo uporabiti delilnik napetosti.

49 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 37 s PGA A/D µp c d c PSoC Slika 6.8 Logični prikaz enega analognega merilnega kanala s PSoC Z uporabo samo šestih bitov, je bila kvantizacija izvedena v fazi A/D pretvorbe. Uporabljen je SAR A/D pretvornik, lahko pa se uporabi katera druga metoda, ki je vgrajena v PSoC, vendar porabi več analognih celic ali pa je počasnejša. Strojna oprema ponuja možnost izvedbe štirih merilnih analognih kanalov, vendar pa se lahko razširi na osem kanalov, kar izvedemo s pomočjo analognega multiplekserja. Digitalni del naprave omogoča delo s 16-bitnimi podatki. Ocenjevalna logika je izvedena z mikroprocesorjem, ki je vgrajen. Izvaja se kot vrsta testov ali se spremenljivka nahaja v področju hiper kock. Hiper kocke so pripravljene vnaprej off-line in so naložene v spomin mikroprocesorja v fazi inicializacije (iz flash pomnilnika v SRAM). Rešitev z uporabo pravilnostnih tabel, kot pri implementaciji z FPGA-ji, bi zavzela preveč spomina. Na sliki (Slika 6.9) so prikazane interne povezave od vhodnega pina do izhodnega pina. Slika 6.9 Uporabljen analogni del PSoC-a Analogni bloki, ki so uporabljeni so PGA in SAR6 ter pripadajoči multipleksorji in vodila. PGA: ojačevalnik s programsko nastavljivim faktorjem ojačanja (angl. Programmable Gain Amplifier Data Sheet). Za implementacijo se uporabi en analogni blok in en vhodni ali izhodni pin

50 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 38 ter multipleksirane povezave od pina do vhoda ali izhoda analognega bloka. API vmesnik porabi 52 zlogov flash spomina. Ojačanje je programsko nastavljivo od 0,062 do 48,00 po korakih, vendar ne linearno. Formula za iztračun izhodne napetosti ojačanja manjša od 1 je ((6.1). Za ojačanje 1 ali več, vendar ne več kot 48,00, se uporablja formula (6.2). Formuli se nanašata na vezavo, ki jo prikazuje slika (Slika 6.10). (6.1) (6.2) Slika 6.10 Notranja vezava PGA ojačevalnika Osnovna vezava tega ojačevalnika je neinvertirajoči operacijski ojačevalnik s programsko nastavitvijo faktorja ojačanja. Ojačevalnik ima visoko vhodno impendanco, možnost nastavljati referenčne vrednosti ter deluje v širokem frekvenčnem pasu[13]. SAR6: 6-bitni SAR analogno digitalni pretvornik (angl. 6-Bit SAR ADC). Uporabljen je samo en analogni blok in 58 zlogov ter en vhodni pin (Slika 6.11). Tipičen čas pretvorbe je 25 mikrosekund pri 6-bitni resoluciji. Uporabljeno je kodiranje z dvojiškim komplementom (angl. 2's complement) na zaključenem intervalu [ ] [13].

51 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 39 Slika 6.11 Principielna shema SAR6 pretvornika Ker je pretvornik že 6-biten ni potrebna dodatna kvantizacija. Izvedba API vmesnika je izbrana tako, da imamo najmanjši jitter. Ocenjevalna funkcija je izvedena kot dvo vhodna funkcija, tako da hiper kocke preidejo v ploskve katere so definirane s pomočjo parov (x 1, y 1 ) in (x 2, y 2 ). V aplikaciji je zasnovana na štirih hiper kockah (Slika 5.5). Vhodni saignal x(t) je preko multiplekserja pripeljan na PGA, kjer se poskrbi za primerno amplitudno obdelavo (programsko nastavljanje faktorja ojačanja ojačevalnika). Izhod PGA se poveže na vhod A/D pretvornika SAR6, katerega pretvorjena vrednost je programsko dostopna vgrajenemu mikroprocesorjum8c. Tudi pot signala y(t) je podobna, vendar pa pri tem uporablja svoje vire. Izvede se ocenjevalna funkcija in njen rezultat se izpiše preko vodila in izhodnih ojačevalnikov na izhodne pine, kjer se lahko s pomočjo LED diod vizualizira. Po potrebi lahko rezulat ocenjevalne funkcije (signala c in d) pošljemo po enem od vgrajenih zaporednih vmesnikov (naprimer I2C, SPI, OneWire, UART ali IrD). Vezje in programska oprema sta pripravljena za delovanje s tremi vhodi, vendar sta aktivna samo dva vhoda (strojno in programsko), za tretji vhod je potrebno ponovno prevajanje in aktiviranje tretjega vhoda (pogojno prevajanje).

52 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 40 7 PRIMERJAVA REZULTATOV OBEH IMPLEMENTACIJ Rešitev z diskretnimi analognimi komponentami je nekoliko bolj prožna in robustna. V tem primeru lahko uporabimo različne vrste A/D pretvornikov za zajemanje različnih vrst signalov. Razen tega, da je navadno zunanji pretvornik manj občutljiv na napetostne preobremenitve na vhodih kot notranji, kar izhaja iz tega, da so vhodi specialno prirejeni za pretvornik. V primeru PSoC-a pa so priključni pini lahko splošni, vhodni ali izhodni. Glavna korist tega pristopa je hitrost, ker lahko zgradimo različne načine spremljanja signalov in različne načine vrednotenja skupaj v isti napravi. Vzorčenje, kvantizacija in ocenjevanje za različne signale poteka vzporedno.će je ocenjevalna logika relativno preprosta, je lahko izvajalni cikel reda nekaj mikrosekund, to pa je precej krajše kot pa je A/D pretvorba. Zato je mogoče oceniti signale v enem izvajalnem ciklu, medtem ko se zajemajo nove vrednosti za naslednji cikel. Slaba stran te rešitve je cena. Ocenjen vložek v to rešitev je cca. 30 eurov in je odvisna od uporabljenega FPGA in A/D pretvornika. Pravo nasprotje pa je izvedba z PSoC-om, kjer cena ne presega 5 eurov pri štirih vhodnih kanalih. Implementacija z PSoC vezjem je bolj kompaktna in zahteva manj sestavnih delov kot implementacija z FPGA-jem. Vendar pa se razen A/D pretvorbe vse dogaja znotraj mikroprocesorja. To vpliva na čas izvedbe, saj je potrebno opraviti vso ocenjevanje sekvenčno. Na primer, za konfiguracijo kjer sta bila opazovana dva vhodna analogna signala in ocenjena s štirimi območji kot je na sliki (Slika 5.5), je bil izvajalni cikel dolg 83 mikrosekund (pri 24 MHz sistemske ure). Tipična vrednost časa pretvorbe A/D pretvornika je približno 25 mikrosekund, kar nam pove, da ni mogoče izpeljati ocenjevanja in pretvorbe hkrati. Povečanju dimenzij hiper kock sledi tudi čas izvajalnega cikla. To je v nasprotju s prvim pristopom, kjer dodajanje spremenljivk nima skoraj nobenega vpliva na čas izvajanja cikla. Vzrok je v paralelizmu v prvem primeru. V tem delu je bila uporabljena le preprosta ocenjevalna funkcija, ki je testirala prisotnost signalov v hiper kocki. Če pa bi uporabili kompleksnejša območja kakor je hiper kocka (hiper elipsa, ), bi morali imeti na razpolago boljšo aritmetiko. V tem primeru FPGA ni primeren za takšno izvedbo ocenjevalne funkcije. Prav nasprotno pa je PSoC opremljen tudi za takšne primere, saj ima vgrajena dva osem krat 8-bitna množilnika.

53 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 41 8 SKLEP V diplomskem delu je predstavljen koncept MC celice za nadzor verodostojnosti kontrolne funkcije. S poznavanjem normalnega delovanja krmilne funkcije je mogoče odkriti nenormalno obnašanje vhodnih in izhodnih signalov, notranjih stanj, dinamike in grobo obnašanje izhodnega signala glede na vhode v prejšnjem ciklu. V primerih, ko ne poznamo normalnega obnašanja sistema, predlagam izvajanje avtomatskega postopka, ki temelji na strojnem učenju ML. Vzorci, ki so pridobljeni s pomočjo opazovanja in hranjenja preko daljšega časovnega obdobja se off-line analizirajo in se razporedijo v več dimenzionalna območja (prostore). Predlagane naprave, izvedene z MC, se lahko razmeroma zlahka uporabljajo v različnih obstoječih sistemih za nadzor. Opisana sta dva načina implementacije MC celice, možnosti je seveda še več. Rešitev z FPGA-jem je primerna, če potrebujemo kratke odzivne čase. Ker je ocenjevalna logika zasnovana kot večprocesorska, je čas izvajalnega cikla skoraj neodvisen od števila signalov. Vendar je rešitev precej draga, težavnejša za implementacijo in lahko uporabimo samo relativno preprosto ocenjevalno funkcijo. Pristop s PSoC-om je cenejši, kompaktnejši, enostavnejši za implementacijo in bolj primeren za relativno kompleksnejšo ocenjevalno logiko. Glavnina dela se opravi v mikroprocesorju in se zato problem rešuje sekvenčno in ne paralelno, kar ima za posledico daljši izvajalni cikel. Idealna rešitev bi bala kombinacija obeh pristopov: PSoC naprava z velikim FPGA-jem na enem samem čipu. Naslednji korak bi bil uporaba kompleksnejših modelov hiper prostora (hiper spužva, ). Tudi na področju genetskega programiranja je želja po avtomatskem generiranju spremljevalne funkcije. Želja je, po možnosti, generiranja celega niza funkcij, ki so dovolj preproste, da jih je možno izvesti na preprosti strojni opremi in, da je še vse skupaj verodostojno. Končni cilj je vključiti algoritem strojnega učenje in avtomatskega generiranja spremljevalne funkcije v napravo za spremljanje MC, kjer bi se ocenjevalna funkcija določila dinamično (in ne statično kot zdaj) ter bi se lahko prilagodila določenim načinom delovanja opazovanega sistema.

54 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 42 9 VIRI, LITERATURA [1] L.H. Chiang, E.L. Russell, and R.D.Braatz. Fault Detection and Diagnosis in Industrial Systems. Springer, [2] D.W. Hillis. Co-evolving parasites improve simulated evolution as an optimization procedure. Physica D, 42: , [3] J.H. Holland. Adaptation in natural and artificial systems. The University of Michigan Press, Ann Arbor, MI, [4] IEEE. Test Access Port & Boundary Scan Architecture. IEEE, New York, [5] R. Isermann. Fault Diagnosis Systems: An Introduction from Fault Detection to Fault Tolerance. Springer, [6] K. Price and R. Storn. Differential evolution: A simple evolution strategy for fast optimization. Dr. Dobbs Journal of Software Tools, 22(4):18-24, [7] Neil Storey. Safety-Critical Computer Systems. Addison-Wesley Longman, [8] D. Verber, B. Lent, and W.A. Halang. Firmware support for disjunctive dataflow driven distributed control applications. In Z. Bradač, F. Zezulka, M. Polanski, and V. Jirsik, editors, Proceedings of IFAC workshop on programmable devices and embedded systems PDeS 2006, pages 84-89, [9] Xilinx Inc.,The Programmable Logic Data Book, Xilinx Inc., USA, [10] Artemis, Strategic Research Agenda, 2006 [11] [12] [13] [14] [15] Matjaž Colnarič, Domen Verber and Wolfgang A. Halang Distributed Embedded Control Systems. Springer, 2008 [16]

55 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran PRILOGE 10.1 Priloge vezalni načrti Priloga 1. Vezava A/D pretvornika

56 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 44 Priloga 2. Mikroprocesorska enota

57 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 45 Priloga 3. Osnovna plošča vmesnikov

58 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 46 Primer programa za PSoC za dva ali tri vhodne kanale. Zaradi omejitev prostora diplome v pisni obliki, je dodano samo v elektronski obliki! Priloga 4. Primer programa za PSoC za dva ali tri vhodne kanale 10.2 Seznam slik Slika 1.1 Primerjava med mehkim in strogim realnim časom... 2 Slika 2.1 Dohodki na področju vgrajenih sistemov v svetovnem merilu... 5 Slika 4.1 FMEA Analiza možnih napak in njihovih posledic... 9 Slika 4.2 HAZOP Metoda sistematične analize nevarnosti med obratovanjem Slika 4.3 Primer FTA Analiza drevesa napak Slika 5.1 Koncept MC celice Slika 5.2 Področje učne množice L Slika 5.3 Primer pretvorbe množice S ij v več hiper kock (H1, H2, H3) Slika 5.4 Negativni hiper prostor V --- = X i Slika 5.5 Pozitivni model za štiri hiper kocke za dva nelinearna signala Slika 6.1 Implementacija MC celice Slika 6.2 Diskretna izvedba MC logike za en analogni signal Slika 6.3 Vezava A/D pretvornika Slika 6.4 Mikroprocesorska enota Slika 6.5 Osnovna plošča vmesnikov Slika 6.6 PSoC lego sistem Slika 6.7 Zgradba PSoC vezja Slika 6.8 Logični prikaz enega analognega merilnega kanala s PSoC Slika 6.9 Uporabljen analogni del PSoC-a Slika 6.10 Notranja vezava PGA ojačevalnika Slika 6.11 Principielna shema SAR6 pretvornika... 39

59 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran Seznam preglednic Tabela 1. Primerjava Xilinx družin Virtex in Spartan Tabela 2. Razpoložljivi viri posameznih družin Xilinx FPGA vezij Seznam prilog Seznam prilog Priloga 1. Vezava A/D pretvornika Priloga 2. Mikroprocesorska enota Priloga 3. Osnovna plošča vmesnikov Priloga 4. Primer programa za PSoC za dva ali tri vhodne kanale Naslov študenta Stanislav Moraus Bezenškova MARIBOR Tel.študenta: študenta: stanko.moraus@uni-mb.si 10.6 Kratek življenjepis Rojen: Šolanje: v Mariboru Leta 1965 sem se vpisal na osnovno šolo Ivana Cankarja v Mariboru. Po končani osnovni šoli, leta 1973 sem obiskoval srednjo šolo Tehniška elektro, strojna in tekstilna šola (TESTŠ) Maribor. Po srednji šoli sem se vpisal na Visoko tehniško šolo (VTŠ) smer elektrotehnika, modul elektronika in končal študij na I.stopnji leta Leta 2000 sem končal visokošolski strokovni študijski program elektrotehnika smer elektronika na Fakulteti za elektrotehniko, računalništvo in informatiko V Mariboru..

60 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 48

61 Uporaba programabilnih vezij za zaznavo napak v vgrajenih krmilnih sistemih Stran 49

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Digitalni sistemi Vgrajeni digitalni sistemi Digitalni sistem: osebni računalnik

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Programirljivi Digitalni Sistemi Digitalni sistem Digitalni sistemi na integriranem vezju Digitalni sistem

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Osnove jezika VHDL Strukturno načrtovanje in testiranje Struktura vezja s komponentami

Prikaži več

Slide 1

Slide 1 Tehnike programiranja PREDAVANJE 10 Uvod v binarni svet in računalništvo (nadaljevanje) Logične operacije Ponovitev in ilustracija Logične operacije Negacija (eniški komplement) Negiramo vse bite v besedi

Prikaži več

DES11_realno

DES11_realno Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Delovanje realnega vezja Omejitve modela vezja 1 Model v VHDLu je poenostavljeno

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Zaporedni vmesniki Zaporedni (serijski) vmesniki Zaporedni (serijski) vmesniki

Prikaži več

Microsoft Word - CNC obdelava kazalo vsebine.doc

Microsoft Word - CNC obdelava kazalo vsebine.doc ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo mesto, april 2008 Ime in priimek študenta ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Model vezja Računalniški model in realno vezje Model logičnega negatorja Načini

Prikaži več

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD ( 5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (angl. Complex Programmable Logic Device) so manjša

Prikaži več

PKP projekt SMART WaterNet_Opis

PKP projekt SMART WaterNet_Opis PKP projekt SMART WaterNet Po kreativni poti do znanja (PKP) opis programa Program Po kreativni poti do znanja omogoča povezovanje visokošolskih zavodov s trgom dela in tako daje možnost študentom za pridobitev

Prikaži več

Optimizacija z roji delcev - Seminarska naloga pri predmetu Izbrana poglavja iz optimizacije

Optimizacija z roji delcev - Seminarska naloga pri predmetu Izbrana poglavja iz optimizacije Univerza v Ljubljani Fakulteta za matematiko in fiziko Seminarska naloga pri predmetu Izbrana poglavja iz optimizacije 2. junij 2011 Koncept PSO Motivacija: vedenje organizmov v naravi Ideja: koordinirano

Prikaži več

Datum in kraj

Datum in kraj Ljubljana, 5. 4. 2017 Katalog znanj in vzorci nalog za izbirni izpit za vpis na magistrski študij Pedagoško računalništvo in informatika 2017/2018 0 KATALOG ZNANJ ZA IZBIRNI IZPIT ZA VPIS NA MAGISTRSKI

Prikaži več

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvantnih celičnih avtomatov SEMINARSKA NALOGA Univerzitetna

Prikaži več

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila Programsko orodje LabVIEW za kreiranje, zajem in obdelavo signalov (statične in dinamične karakteristike hidravličnih proporcionalnih ventilov) Marko Šimic Telefon: +386 1 4771 727 e-mail: marko.simic@fs.uni-lj.si

Prikaži več

Microsoft PowerPoint - IPPU-V2.ppt

Microsoft PowerPoint - IPPU-V2.ppt Informatizacija poslovnih procesov v upravi VAJA 2 Procesni pogled Diagram aktivnosti IPPU vaja 2; stran: 1 Fakulteta za upravo, 2006/07 Procesni pogled Je osnova za razvoj programov Prikazuje algoritme

Prikaži več

Slide 1

Slide 1 Projektno vodenje PREDAVANJE 7 doc. dr. M. Zajc matej.zajc@fe.uni-lj.si Projektno vodenje z orodjem Excel Predstavitev Najbolj razširjeno orodje za delo s preglednicami Dva sklopa funkcij: Obdelava številk

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki Vodila, vzporedni (paralelni) vmesniki Vmesniki in vodila naprava 1

Prikaži več

INDUSTRIJA 4.0: PRILOŽNOSTI DIGITALNE PREOBRAZBE PROCESA RAZVOJA BARV IN PREMAZOV TOMAŽ KERN, BENJAMIN URH, MARJAN SENEGAČNIK, EVA KRHAČ

INDUSTRIJA 4.0:  PRILOŽNOSTI DIGITALNE PREOBRAZBE PROCESA RAZVOJA BARV IN PREMAZOV TOMAŽ KERN, BENJAMIN URH, MARJAN SENEGAČNIK, EVA KRHAČ INDUSTRIJA 4.0: PRILOŽNOSTI DIGITALNE PREOBRAZBE PROCESA RAZVOJA BARV IN PREMAZOV TOMAŽ KERN, BENJAMIN URH, MARJAN SENEGAČNIK, EVA KRHAČ AGENDA IZZIV OZADJE RAZISKAVE POSNETEK STANJA ANALIZA STANJA in

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 4 - AV 4 Linije LTSpice, simulacija elektronskih vezij VIN - LV 1 Rozman,Škraba, FRI LTSpice LTSpice: http://www.linear.com/designtools/software/ https://www.analog.com/en/design-center/design-tools-andcalculators/ltspice-simulator.html

Prikaži več

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni RAM stroj Nataša Naglič 4. junij 2009 1 RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni trak, pomnilnik ter program. Bralni trak- zaporedje

Prikaži več

ŠTEVCI PROMETA IN NJIHOVA UPORABA ZA NAMENE STATISTIK ČRT GRAHONJA

ŠTEVCI PROMETA IN NJIHOVA UPORABA ZA NAMENE STATISTIK ČRT GRAHONJA ŠTEVCI PROMETA IN NJIHOVA UPORABA ZA NAMENE STATISTIK ČRT GRAHONJA Navdih Poizvedovanje po BD podatkovnih virih, ki imajo časovno dimenzijo in so dostopni. Večji promet pomeni večje število dobrin in močnejšo

Prikaži več

Gradbeništvo kot Industrija 4.0

Gradbeništvo kot Industrija 4.0 Povzetek: Kot vse druge panoge se mora gradbeništvo modernizirati Industrija 4.0 koncept, ki daje modernizaciji okvir, motivacijo, zagon Industrija 4.0 je stapljanje fizičnega in digitalnega sveta Gradbeništvo

Prikaži več

Vzpostavitev več nivojske varnostne infrastrukture S pomočjo Elektro Maribor, McAfee SIEM, CISCO ISE, NGFW Zorna Varga, Sfera IT d.o.o in Klemen Bačak

Vzpostavitev več nivojske varnostne infrastrukture S pomočjo Elektro Maribor, McAfee SIEM, CISCO ISE, NGFW Zorna Varga, Sfera IT d.o.o in Klemen Bačak Vzpostavitev več nivojske varnostne infrastrukture S pomočjo Elektro Maribor, McAfee SIEM, CISCO ISE, NGFW Zorna Varga, Sfera IT d.o.o in Klemen Bačak, Sfera IT d.o.o. 1 Priprava na: Vzpostavitev več nivojske

Prikaži več

GHOSTBUSTERS navodila za učitelje O PROJEKTU S tem projektom se učenci sami naučijo izdelati igro. Ustvariti morajo več ikon (duhcov ali kaj drugega)

GHOSTBUSTERS navodila za učitelje O PROJEKTU S tem projektom se učenci sami naučijo izdelati igro. Ustvariti morajo več ikon (duhcov ali kaj drugega) GHOSTBUSTERS navodila za učitelje O PROJEKTU S tem projektom se učenci sami naučijo izdelati igro. Ustvariti morajo več ikon (duhcov ali kaj drugega) in za vsako napisati svojo kodo. Dve ikoni imata isto

Prikaži več

Macoma katalog copy

Macoma katalog copy POSLOVNE APLIKACIJE PO ŽELJAH NAROČNIKA Poročilni sistem Finance in kontroling Poprodaja Podatkovna skladišča Prodaja Proizvodnja Obstoječi ERP Partnerji Implementacija rešitev prilagojena po željah naročnika

Prikaži več

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajanje prekinitvene rutine Dogodek GLAVNI PROGRAM (MAIN-OB1)

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Procesorji Model računalnika, mikrokrmilnik CPE = mikrosekvenčnik + podatkovna

Prikaži več

No Slide Title

No Slide Title Glavne napake-pomoč KRONOS 1 Diagnostika in dostop do sistema PEČICA NAPAKA NAPAKA PRIKAZANA Z KODO NAPAKE NAPAKA BREZ INDIKACIJE KODE NAPAKE 2 Diagnostika in dostop do sistema Prikaz kode napake Informacije

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : ržavni izpitni center *M15178112* SPOMLNSKI IZPITNI ROK Izpitna pola 2 Četrtek, 4. junij 2015 / 90 minut ovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali

Prikaži več

Poročilo projekta : Učinkovita raba energije Primerjava klasične sončne elektrarne z sončno elektrarno ki sledi soncu. Cilj projekta: Cilj našega proj

Poročilo projekta : Učinkovita raba energije Primerjava klasične sončne elektrarne z sončno elektrarno ki sledi soncu. Cilj projekta: Cilj našega proj Poročilo projekta : Učinkovita raba energije Primerjava klasične sončne elektrarne z sončno elektrarno ki sledi soncu. Cilj projekta: Cilj našega projekta je bil izdelati učilo napravo za prikaz delovanja

Prikaži več

Verjetnost in vzorčenje: teoretske porazdelitve standardne napake ocenjevanje parametrov as. dr. Nino RODE prof. dr. Blaž MESEC

Verjetnost in vzorčenje: teoretske porazdelitve standardne napake ocenjevanje parametrov as. dr. Nino RODE prof. dr. Blaž MESEC Verjetnost in vzorčenje: teoretske porazdelitve standardne napake ocenjevanje parametrov as. dr. Nino RODE prof. dr. Blaž MESEC VERJETNOST osnovni pojmi Poskus: dejanje pri katerem je izid negotov met

Prikaži več

Chapter 1

Chapter 1 - 1 - Poglavje 1 Uvod v podatkovne baze - 2 - Poglavje 1 Cilji (Teme).. Nekatere domene, kjer se uporabljajo podatkovne baze Značilnosti datotečnih sistemov Problemi vezani na datotečne sisteme Pomen izraza

Prikaži več

Microsoft PowerPoint - CIGER - SK 3-15 Izkusnje nadzora distribucijskih transformatorjev s pomo... [Read-Only]

Microsoft PowerPoint - CIGER - SK 3-15 Izkusnje nadzora distribucijskih transformatorjev s pomo... [Read-Only] CIRED ŠK 3-15 IZKUŠNJE NADZORA DISTRIBUCIJSKIH TRANSFORMATORJEV S POMOČJO ŠTEVCEV ELEKTRIČNE ENERGIJE ŽIGA HRIBAR 1, BOŠTJAN FABJAN 2, TIM GRADNIK 3, BOŠTJAN PODHRAŠKI 4 1 Elektro novi sistemi. d.o.o.,

Prikaži več

Microsoft Word - UP_Lekcija04_2014.docx

Microsoft Word - UP_Lekcija04_2014.docx 4. Zanka while Zanke pri programiranju uporabljamo, kadar moramo stavek ali skupino stavkov izvršiti večkrat zaporedoma. Namesto, da iste (ali podobne) stavke pišemo n-krat, jih napišemo samo enkrat in

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 12. junij 2013 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Event name or presentation title

Event name or  presentation title Marko Škufca Vodja programa BI, ADD d.o.o. Gorazd Cah Specialist področja Služba za informatiko, DARS d.d. Izziv Rešitev Rezultati... PROCESI + TEHNOLOGIJA + LJUDJE Poslanstvo: s sodobnimi pristopi in

Prikaži več

Microsoft Word - avd_vaje_ars1_1.doc

Microsoft Word - avd_vaje_ars1_1.doc ARS I Avditorne vaje Pri nekem programu je potrebno izvršiti N=1620 ukazov. Pogostost in trajanje posameznih vrst ukazov računalnika sta naslednja: Vrsta ukaza Štev. urinih period Pogostost Prenosi podatkov

Prikaži več

KRMILNA OMARICA KO-0

KRMILNA OMARICA KO-0 KOTLOVSKA REGULACIJA Z ENIM OGREVALNIM KROGOM Siop Elektronika d.o.o., Dobro Polje 11b, 4243 Brezje, tel.: +386 4 53 09 150, fax: +386 4 53 09 151, gsm:+386 41 630 089 e-mail: info@siopelektronika.si,

Prikaži več

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod

UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod UPS naprave Socomec Netys PL (Plug in) UPS naprava Socomec Netys PL moč: 600VA/360W; tehnologija: off-line delovanje; vhod: 1-fazni šuko 230VAC; izhod: 1-fazni 230VAC; 4 šuko vtičnica preko UPS-a; 2 šuko

Prikaži več

DELEGIRANA UREDBA KOMISIJE (EU) 2016/ z dne 2. junija o dopolnitvi Uredbe (EU) št. 600/ Evropskega parlamenta i

DELEGIRANA  UREDBA  KOMISIJE  (EU)  2016/ z dne  2.  junija o dopolnitvi  Uredbe  (EU)  št.  600/ Evropskega  parlamenta  i L 313/6 DELEGIRANA UREDBA KOMISIJE (EU) 2016/2021 z dne 2. junija 2016 o dopolnitvi Uredbe (EU) št. 600/2014 Evropskega parlamenta in Sveta o trgih finančnih instrumentov v zvezi z regulativnimi tehničnimi

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : Državni izpitni center *M15245112* JESENSKI IZPITNI ROK Izpitna pola 2 / 90 minut Dovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali kemični svinčnik in računalo.

Prikaži več

VIN Lab 1

VIN Lab 1 Vhodno izhodne naprave Laboratorijska vaja 1 - AV 1 Signali, OE, Linije VIN - LV 1 Rozman,Škraba, FRI Laboratorijske vaje VIN Ocena iz vaj je sestavljena iz ocene dveh kolokvijev (50% ocene) in iz poročil

Prikaži več

Microsoft Word - M doc

Microsoft Word - M doc Državni izpitni center *M11145113* INFORMATIKA SPOMLADANSKI IZPITNI ROK NAVODILA ZA OCENJEVANJE Petek, 10. junij 2011 SPLOŠNA MATURA RIC 2011 2 M111-451-1-3 IZPITNA POLA 1 1. b 2. a 3. Pojem se povezuje

Prikaži več

Impact assessment Clean 0808

Impact assessment  Clean 0808 EVROPSKA KOMISIJA Bruselj, 13.9.2017 SWD(2017) 501 final DELOVNI DOKUMENT SLUŽB KOMISIJE POVZETEK OCENE UČINKA Spremni dokument k predlogu uredbe Evropskega parlamenta in Sveta o Agenciji EU za kibernetsko

Prikaži več

Podatkovni model ER

Podatkovni model ER Podatkovni model Entiteta- Razmerje Iztok Savnik, FAMNIT 2018/19 Pregled: Načrtovanje podatkovnih baz Konceptualno načtrovanje: (ER Model) Kaj so entite in razmerja v aplikacijskem okolju? Katere podatke

Prikaži več

Diapozitiv 1

Diapozitiv 1 Računalništvo in informatika Program: Mehatronika dr. Hubert Fröhlich, univ. dipl. el. Podatkovne baze 2 Podatkovne baze Podatki osnova za odločanje in izvajanje akcij tiskana oblika elektronska oblika

Prikaži več

Aleš Štempihar Agile in IIBA poslovni analitiki dodana vrednost za organizacijo in njene kupce Povzetek: Kaj je pravzaprav Agile? Je to metodologija z

Aleš Štempihar Agile in IIBA poslovni analitiki dodana vrednost za organizacijo in njene kupce Povzetek: Kaj je pravzaprav Agile? Je to metodologija z Aleš Štempihar Agile in IIBA poslovni analitiki dodana vrednost za organizacijo in njene kupce Povzetek: Kaj je pravzaprav Agile? Je to metodologija za izvajanje projektov, je to tehnika in orodje za razvoj

Prikaži več

REŠITVE Inteligentna ventilska tehnologija na enem mestu SMART IN FLOW CONTROL.

REŠITVE Inteligentna ventilska tehnologija na enem mestu SMART IN FLOW CONTROL. REŠITVE Inteligentna ventilska tehnologija na enem mestu SMART IN FLOW CONTROL. SAMSON razvija in izdeluje regulacijske ventile praktično za vse zahteve in procese od kovanega krogelnega ventila do obvodnega

Prikaži več

Diapozitiv 1

Diapozitiv 1 9. Funkcije 1 9. 1. F U N K C I J A m a i n () 9.2. D E F I N I C I J A F U N K C I J E 9.3. S T A V E K r e t u r n 9.4. K L I C F U N K C I J E I N P R E N O S P A R A M E T R O V 9.5. P R E K R I V

Prikaži več

PowerPointova predstavitev

PowerPointova predstavitev Načrtujemo, razvijamo in izdelamo elektroniko po meri naročnika Svetujemo pri izbiri komponent, optimiziramo stroškovnike in proizvodni proces. Ključne kompetence Razvoj elektronike (hardware) Vgrajeni

Prikaži več

Protokoli v računalniškem komuniciranju TCP, IP, nivojski model, paket informacij.

Protokoli v računalniškem komuniciranju TCP, IP, nivojski model, paket informacij. Protokoli v računalniškem komuniciranju TCP, IP, nivojski model, paket informacij. Protokoli - uvod Protokol je pravilo ali zbirka pravil, ki določajo načine transporta sporočil po računalniškem omrežju

Prikaži več

Teorija kodiranja in kriptografija 2013/ AES

Teorija kodiranja in kriptografija 2013/ AES Teorija kodiranja in kriptografija 23/24 AES Arjana Žitnik Univerza v Ljubljani, Fakulteta za matematiko in fiziko Ljubljana, 8. 3. 24 AES - zgodovina Septembra 997 je NIST objavil natečaj za izbor nove

Prikaži več

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo Turingov stroj in programiranje Barbara Strniša 12. 4. 2010 1 Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolov (običajno Σ 2) Σ n = {s 1 s 2... s n ; s i Σ, i =

Prikaži več

Spodbude za omilitev podnebnih sprememb

Spodbude za omilitev podnebnih sprememb mag. Karin Žvokelj Služba za razvojna sredstva Kohezijska sredstva in omilitev podnebnih sprememb cca. 160 mio EUR (cca 85 mio nepovratnih sredstev) prednostna naložba 1.2: 53,3 mio EUR (nepovratna sredstva:

Prikaži več

Kazalo 1 DVOMESTNE RELACIJE Operacije z dvomestnimi relacijami Predstavitev relacij

Kazalo 1 DVOMESTNE RELACIJE Operacije z dvomestnimi relacijami Predstavitev relacij Kazalo 1 DVOMESTNE RELACIJE 1 1.1 Operacije z dvomestnimi relacijami...................... 2 1.2 Predstavitev relacij............................... 3 1.3 Lastnosti relacij na dani množici (R X X)................

Prikaži več

DKMPT

DKMPT Tračnice, na katere so moduli fizično nameščeni. Napajalniki (PS), ki zagotavljajo ustrezno enosmerno napajalno napetost za module. Centralne procesne enote (CPU Central Processing Unit). Signalni moduli

Prikaži več

08_03

08_03 OBVESTILO O RAZPISU ZA OBLIKOVANJE REZERVNEGA SEZNAMA Naziv delovnega mesta Funkcionalna skupina/razred AD 6 Vrsta pogodbe Sklic Rok za prijavo Kraj zaposlitve Veljavnost rezervnega seznama do Število

Prikaži več

UPRAVLJANJE RAZPRŠENIH PODATKOV Shranjevanje, zaščita in vzdrževanje informacij, ki jih najbolj potrebujete

UPRAVLJANJE RAZPRŠENIH PODATKOV Shranjevanje, zaščita in vzdrževanje informacij, ki jih najbolj potrebujete UPRAVLJANJE RAZPRŠENIH PODATKOV Shranjevanje, zaščita in vzdrževanje informacij, ki jih najbolj potrebujete ELEKTRONSKI PODATKI, KI JIH ORGANIZACIJA USTVARJA IN POTREBUJE ZA DOSTOP, SE KAŽEJO V RAZLIČNIH

Prikaži več

ORA 1-3

ORA 1-3 OSNOVE RAČUNALNIŠKE ARHITEKTURE II 9 Glavni pomnilnik ORA 2-9 Igor Škraba, FRI Glavni pomnilnik in predpomnilnik Glavni pomnilnik je prostor iz katerega CPE bere ukaze in operande in vanj shranjuje rezultate.

Prikaži več

Overview

Overview SMETARSKA VOZILA ROS ROCA Olympus nadgradnja na dvoosnem vozilu Olympus nadgradnja na triosnem vozilu Olympus Nova zasnova smetarskega vozila od Januarja 2010 Opravljen trpežnosti test je ekvivalenten

Prikaži več

DES11_vmesniki

DES11_vmesniki Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki in sekvenčna vezja Zaporedna in vzporedna vodila 1 Vmesniki in vodila

Prikaži več

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE ELEKTRONIKA ŠTUDIJ ELEKTRONIKE Umetni nos, Laboratorij za mikroelektroniko, FE Odprtokodni instrument, Red Pitaya, Ljubljana Senzorji krvnega tlaka, Hyb, Šentjernej Elaphe, elektronika omogoča električno

Prikaži več

UNIVERZA V LJUBLJANI FAKULTETA ZA MATEMATIKO IN FIZIKO Katja Ciglar Analiza občutljivosti v Excel-u Seminarska naloga pri predmetu Optimizacija v fina

UNIVERZA V LJUBLJANI FAKULTETA ZA MATEMATIKO IN FIZIKO Katja Ciglar Analiza občutljivosti v Excel-u Seminarska naloga pri predmetu Optimizacija v fina UNIVERZA V LJUBLJANI FAKULTETA ZA MATEMATIKO IN FIZIKO Katja Ciglar Analiza občutljivosti v Excel-u Seminarska naloga pri predmetu Optimizacija v financah Ljubljana, 2010 1. Klasični pristop k analizi

Prikaži več

Osnove statistike v fizični geografiji 2

Osnove statistike v fizični geografiji 2 Osnove statistike v geografiji - Metodologija geografskega raziskovanja - dr. Gregor Kovačič, doc. Bivariantna analiza Lastnosti so med sabo odvisne (vzročnoposledično povezane), kadar ena lastnost (spremenljivka

Prikaži več

Microsoft PowerPoint - CAD sistemi - pletenje za pdf

Microsoft PowerPoint - CAD sistemi - pletenje za pdf ELEKTRONIKA V PLETILSTVU slabosti mehanskega nadzora pletilskih procesov dragi mehanski nadzorni sistemi počasnost in okornost delovanja težavna regulacija počasen odziv obraba, staranje (trenje, rjavenje,...)

Prikaži več

Folie 1

Folie 1 S&TLabs Innovations mag. Damjan Kosec, S&T Slovenija d.d. marec 2013 S&TLabs Laboratorij za inovacije in razvoj spletnih in mobilnih informacijskih rešitev Kako boste spremenili svoj poslovni model na

Prikaži več

8_ICPx

8_ICPx INŠTITUT ZA CELULOZO IN PAPIR PULP AND PAPER INSTITUTE Vpliv dizajna na reciklabilnost papirne embalaže Matej Šuštaršič, Janja Zule GZS, 12.12.2014 Vsebina - Kaj je (eko)dizajn? - Pomen recikliranja papirja

Prikaži več

CelotniPraktikum_2011_verZaTisk.pdf

CelotniPraktikum_2011_verZaTisk.pdf Elektrotehniški praktikum Osnove digitalnih vezij Namen vaje Videti, kako delujejo osnovna dvovhodna logi na vezja v obliki integriranih vezij oziroma, kako opravljajo logi ne funkcije Boolove algebre.

Prikaži več

SLO NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: NAVODILA ZA UPORABO Laserliner tester napetosti AC tive Finder Kataloška št.: 12 3

SLO NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: NAVODILA ZA UPORABO Laserliner tester napetosti AC tive Finder Kataloška št.: 12 3 SLO NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 12 33 32 www.conrad.si NAVODILA ZA UPORABO Laserliner tester napetosti AC tive Finder Kataloška št.: 12 33 32 KAZALO 1. FUNKCIJE / UPORABA... 3 2. VARNOSTNI

Prikaži več

Slide 1

Slide 1 Vsak vektor na premici skozi izhodišče lahko zapišemo kot kjer je v smerni vektor premice in a poljubno število. r a v Vsak vektor na ravnini skozi izhodišče lahko zapišemo kot kjer sta v, v vektorja na

Prikaži več

Microsoft Word - 13-Selekcijski intervju.docx

Microsoft Word - 13-Selekcijski intervju.docx številka 13, 15. dec.2004, ISSN 1581-6451, urednik:radovan Kragelj Pozdravljeni! Danes nadaljujemo z vprašanji, s katerimi vrednotite konkretne lastnosti in sposobnosti posameznega kandidata. V prejšnjih

Prikaži več

PowerPointova predstavitev

PowerPointova predstavitev INFORMATIKA Tečaj za višjega gasilca OGZ PTUJ 2017 PRIPRAVIL: ANTON KUHAR BOMBEK, GČ VSEBINA TEORETIČNA PREDAVANJA INFORMACIJSKI SISTEMI SISTEM OSEBNIH GESEL IN HIERARHIJA PRISTOJNOSTI PRAKTIČNE VAJE ISKANJE

Prikaži več

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k 10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, ki ga sprejme antena in dodatni šum T S radijskega sprejemnika.

Prikaži več

DN5(Kor).dvi

DN5(Kor).dvi Koreni Število x, ki reši enačbo x n = a, imenujemo n-ti koren števila a in to označimo z n a. Pri tem je n naravno število, a pa poljubno realno število. x = n a x n = a. ( n a ) n = a. ( n a ) m = n

Prikaži več

GMP in HACCP S skrbno izbranimi dobavitelji z dolgoletnimi izkušnjami na farmacevtskem trgu in na trgu s kozmetiko se lahko izvor vseh izdelkov ESSENS

GMP in HACCP S skrbno izbranimi dobavitelji z dolgoletnimi izkušnjami na farmacevtskem trgu in na trgu s kozmetiko se lahko izvor vseh izdelkov ESSENS GMP in HACCP S skrbno izbranimi dobavitelji z dolgoletnimi izkušnjami na farmacevtskem trgu in na trgu s kozmetiko se lahko izvor vseh izdelkov ESSENS ponaša z mednarodno priznanim certifikatom GMP. Vsi

Prikaži več

Microsoft PowerPoint - MK 3 tehnicni sistemi.ppt

Microsoft PowerPoint - MK 3 tehnicni sistemi.ppt Opredelitev tehničnega sistema Proces prenosa naravnih sistemov v tehnični sisteme, kot posledica človekovega ustvarjanja 1 Uvod - kaj predstavlja tehnični sistem, splošni primeri Predstavitev primera

Prikaži več

Slajd 1

Slajd 1 REPUBLIKA SLOVENIJA MINISTRSTVO ZA JAVNO UPRAVO 1 EU ENOTNI DIGITALNI PORTAL: PRIHAJA NOVA EU UREDBA Alenka Žužek Nemec, Tina Kuliš DNEVI SLOVENSKE INFORMATIKE 18. april 2018 Ko podjetja ali državljani

Prikaži več

Navodila za uporabo Mini snemalnik

Navodila za uporabo Mini snemalnik Navodila za uporabo Mini snemalnik www.spyshop.eu Pred vami so navodila za pravilno uporabo mini snemalnika in opis funkcionalnosti. Lastnosti snemalnika: Naziv Mere Teža Kapaciteta spomina Snemanje Format

Prikaži več

Microsoft Word - Avditorne.docx

Microsoft Word - Avditorne.docx 1. Naloga Delovanje oscilatorja je odvisno od kapacitivnosti kondenzatorja C. Dopustno območje izhodnih frekvenc je podano z dopustnim območjem kapacitivnosti C od 1,35 do 1,61 nf. Uporabljen je kondenzator

Prikaži več

LaTeX slides

LaTeX slides Statistični modeli - interakcija - Milena Kovač 23. november 2007 Biometrija 2007/08 1 Število živorojenih pujskov Biometrija 2007/08 2 Sestavimo model! Vplivi: leto, farma Odvisna spremenljivka: število

Prikaži več

Microsoft Word - Analiza rezultatov NPZ matematika 2018.docx

Microsoft Word - Analiza rezultatov NPZ matematika 2018.docx Analiza dosežkov pri predmetu matematika za NPZ 28 6. razred NPZ matematika 28 Dosežek šole Povprečno število točk v % Državno povprečje Povprečno število točk v % Odstopanje v % 49,55 52,52 2,97 Povprečni

Prikaži več

Avtomatizirano modeliranje pri celostnem upravljanju z vodnimi viri

Avtomatizirano modeliranje pri celostnem upravljanju z vodnimi viri Univerza v Ljubljani Fakulteta za gradbeništvo in geodezijo 36. Goljevščkov spominski dan Modeliranje kroženja vode in spiranja hranil v porečju reke Pesnice Mateja Škerjanec 1 Tjaša Kanduč 2 David Kocman

Prikaži več

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Leon Bošnjak VPLIV PODOBNOSTI NA USPEŠNOST KLASIFIKACIJE EVOLUCIJSKIH OD

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Leon Bošnjak VPLIV PODOBNOSTI NA USPEŠNOST KLASIFIKACIJE EVOLUCIJSKIH OD UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Leon Bošnjak VPLIV PODOBNOSTI NA USPEŠNOST KLASIFIKACIJE EVOLUCIJSKIH ODLOČITVENIH DREVES Magistrsko delo Maribor, junij 2014

Prikaži več

PowerPoint Template

PowerPoint Template IV. Strateško planiranje v splošnem Strateško planiranje ni izolirano področje od managementa Dve vrsti managementa: Strateški management Operativni management Strateški managemenet šele v zadnjem obdobju

Prikaži več

an-01-USB_digitalni_zvocniki_Logitech_S-150.docx

an-01-USB_digitalni_zvocniki_Logitech_S-150.docx SLO - NAVODILA ZA UPORABO IN MONTAŽO Kat. št.: 91 60 80 www.conrad.si NAVODILA ZA UPORABO USB digitalni zvočniki Logitech S-150 Kataloška št.: 91 60 80 KAZALO 1. VARNOSTNI NAPOTKI... 3 2. NASTAVITEV VAŠIH

Prikaži več

PRIPOROČILA ZA OBLIKOVANJE KATALOGOV ZNANJA ZA MODULE V PROGRAMIH VIŠJEGA STROKOVNEGA IZOBRAŽEVANJA

PRIPOROČILA ZA OBLIKOVANJE KATALOGOV ZNANJA ZA MODULE V PROGRAMIH VIŠJEGA STROKOVNEGA IZOBRAŽEVANJA KATALOG ZNANJA 1. IME PREDMETA ZBIRKE PODATKOV I ZBIRKE PODATKOV II 2. SPLOŠNI CILJI Splošni cilji predmeta so: razvijanje sposobnosti za uporabo znanstvenih metod in sredstev, razvijanje odgovornosti

Prikaži več

Darko Pevec 1.a Informatika

Darko Pevec 1.a Informatika Darko Pevec 1.a Informatika Kazalo KAZALO...2 UVOD...3 DANAŠNJE RAZMERE...4 DSL...4 TEHNOLOGIJE XDSL...4 UPORABA HITRIH POVEZAV...5 PASOVNA ŠIRINA PRENOSA...6 NAČIN DELOVANJA XDSL TEHNOLOGIJ...6 TEHNOLOGIJA

Prikaži več

Microsoft PowerPoint - lj_obroc_predstavitev_tiskovna_mar_2019_02AM.pptx

Microsoft PowerPoint - lj_obroc_predstavitev_tiskovna_mar_2019_02AM.pptx IZHODIŠČA UREJANJA LJUBLJANSKEGA AVTOCESTNEGA OBROČA IN VPADNIH AVTOCEST Predstavitev pobude za državno prostorsko načrtovanje za ureditev ljubljanskega avtocestnega obroča in vpadnih cest ter predloga

Prikaži več

Ime in priimek: Vpisna št: FAKULTETA ZA MATEMATIKO IN FIZIKO Oddelek za matematiko Statistika Pisni izpit 6. julij 2018 Navodila Pazljivo preberite be

Ime in priimek: Vpisna št: FAKULTETA ZA MATEMATIKO IN FIZIKO Oddelek za matematiko Statistika Pisni izpit 6. julij 2018 Navodila Pazljivo preberite be Ime in priimek: Vpisna št: FAKULEA ZA MAEMAIKO IN FIZIKO Oddelek za matematiko Statistika Pisni izpit 6 julij 2018 Navodila Pazljivo preberite besedilo naloge, preden se lotite reševanja Za pozitiven rezultat

Prikaži več

PowerPoint Presentation

PowerPoint Presentation Naslov prispevka STORITVE SOC ŠT.3 ter SOC ŠT.4 Peter Šprajc, Ministrstvo za javno upravo Andrej Skamen, S&T Slovenija d.d.. 10.12.2018 AGENDA pravna podlaga za izvajanja storitev opis SOC storitve 3 opis

Prikaži več

Diapozitiv 1

Diapozitiv 1 Trajnostni razvoj družbe BTC Tomaž Damjan Ljubljana, 23.10.2013 BTC v številkah Družba BTC je uspešno izvedla premik na trajnostno in zeleno področje z željo ustvariti boljšo prihodnost za obiskovalce,

Prikaži več

Microsoft PowerPoint - MK 3 tehnicni sistemi.ppt

Microsoft PowerPoint - MK 3 tehnicni sistemi.ppt Opredelitev tehničnega sistema Proces prenosa naravnih sistemov v tehnični sisteme, kot posledica človekovega ustvarjanja 1 Uvod - kaj predstavlja tehnični sistem, splošni primeri Predstavitev primera

Prikaži več

PowerPoint-Präsentation

PowerPoint-Präsentation ENERGETSKO POGODBENIŠTVO (EPC) V JAVNIH STAVBAH Podpora pri izvajanju energetske prenove stavb na lokalni ravni z mehanizmom energetskega pogodbeništva 12.10.2016, LJUBLJANA NIKO NATEK, KSSENA Projekt

Prikaži več

REALIZACIJA ELEKTRONSKIH SKLOPOV

REALIZACIJA ELEKTRONSKIH SKLOPOV Načrtovanje zaemc = elektronike 2 1 Katedra za elektroniko 2 Čemu? 3 Kdo? Katedra za elektroniko 4 Izziv: DC/DC stikalni napajalnik navzdol U vhod Vhodno sito Krmilno integrirano vezje NMOSFET NMOSFET

Prikaži več

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje priročno programsko okolje tolmač interpreter (ne prevajalnik)

Prikaži več

Microsoft PowerPoint - UN_OM_G03_Marketinsko_raziskovanje

Microsoft PowerPoint - UN_OM_G03_Marketinsko_raziskovanje .: 1 od 10 :. Vaja 3: MARKETINŠKO KO RAZISKOVANJE Marketinško ko raziskovanje Kritičen del marketinškega informacijskega sistema. Proces zagotavljanja informacij potrebnih za poslovno odločanje. Relevantne,

Prikaži več

Priloga II Modul A: Izjava o skladnosti na podlagi notranje kontrole proizvodnje 1. Izjava o skladnosti na podlagi notranje kontrole proizvodnje je po

Priloga II Modul A: Izjava o skladnosti na podlagi notranje kontrole proizvodnje 1. Izjava o skladnosti na podlagi notranje kontrole proizvodnje je po Priloga II Modul A: Izjava o skladnosti na podlagi notranje kontrole proizvodnje 1. Izjava o skladnosti na podlagi notranje kontrole proizvodnje je postopek ugotavljanja skladnosti, s katerim proizvajalec

Prikaži več