Analiza energijske ucinkovitosti vgrajenega mikroprocesorja BA20

Velikost: px
Začni prikazovanje s strani:

Download "Analiza energijske ucinkovitosti vgrajenega mikroprocesorja BA20"

Transkripcija

1 Univerza v Ljubljani Fakulteta za računalništvo in informatiko Blaž Kelbl Analiza energijske učinkovitosti vgrajenega mikroprocesorja BA20 DIPLOMSKO DELO UNIVERZITETNI ŠTUDIJSKI PROGRAM PRVE STOPNJE RAČUNALNIŠTVO IN INFORMATIKA Mentor: izr. prof. dr. Patricio Bulić Ljubljana, 2014

2

3 Rezultati diplomskega dela so intelektualna lastnina avtorja. Za objavljanje ali izkoriščanje rezultatov diplomskega dela je potrebno pisno soglasje avtorja, Fakultete za računalništvo in informatiko ter mentorja Besedilo je oblikovano z urejevalnikom besedil L A TEX.

4

5 Fakulteta za računalništvo in informatiko izdaja naslednjo nalogo: Tematika naloge: Analizirajte energijsko učinkovitost različnih implementacij vgrajenega mikroprocesorja Beyond Semiconductors BA20. Ugotovite vpliv frekvence urinega signala ter arhitekture in organizacije procesorja na porabo moči. Kot testni program za vrednotenje energijske učinkovitosti uporabite sintetični program Dhrystone, ki ga prevedite z uporabo dveh naborov stikal (optimizacija velikosti in optimizacija hitrosti) ter tako ocenite vpliv optimizacij kode na porabo moči. Sintezo procesorja ter analizo porabe energije opravite z uporabo programskega paketa Cadence Encounter.

6

7 Izjava o avtorstvu diplomskega dela Spodaj podpisani Blaž Kelbl, z vpisno številko , sem avtor diplomskega dela z naslovom: Analiza energijske učinkovitosti vgrajenega mikroprocesorja BA20 S svojim podpisom zagotavljam, da: sem diplomsko delo izdelal samostojno pod mentorstvom izr. prof. dr. Patricia Bulića, so elektronska oblika diplomskega dela, naslov (slov., angl.), povzetek (slov., angl.) ter ključne besede (slov., angl.) identični s tiskano obliko diplomskega dela, soglašam z javno objavo elektronske oblike diplomskega dela na svetovnem spletu preko univerzitetnega spletnega arhiva. V Ljubljani, dne 18. septembra 2014 Podpis avtorja:

8

9 Zahvaljujem se sodelavcem v podjetju Beyond Semiconductors, še posebej Mihu Dolencu in Gyorgyu Jeneyu za vse predano znanje, Branku Dervenšku in Mitji Pufiču za nenadomestljivo pomoč pri obvladovanju vsega programskega in konzolnega, Matjažu Breskvarju za kritični pogled ter Juretu Cigliču za strpnost. Poleg tega gre moja zahvala profesorjem ter asistentom s področja logike in sistemov, v prvi vrsti Urošu Lotriču, Branku Šteru za priložnost demonstriranja, Dušanu Kodeku za odlično knjigo in predavanja ter Patriciu Buliću in Veselku Guštinu za priložnost dela v Laboratoriju za računalniško arhitekturo. Seveda se zahvaljujem tudi družini za potrpljenje.

10

11 Maji in čunkam.

12

13 Kazalo Povzetek Abstract 1 Uvod 1 2 Mikroprocesor Beyond BA Arhitektura BA Organizacija Postopek določitve porabe moči Priprava implementacij Določitev preklopne aktivnosti Določanje porabe moči Viri porabe moči v tehnologiji CMOS Polnjenje in praznjenje kapacitivnosti bremen Kratkostični tok Tokovi mirovnega stanja Analiza podatkov Vpliv frekvence delovanja Vpliv funkcijskih enot Vpliv prevajalnih optimizacij Pregled porabe po vrstah elementov Sklepne ugotovitve in omejitve analize 27

14

15 Povzetek Številne tehnike izboljševanja energijske učinkovitosti procesorjev so bile predlagane in uporabljene v različnih procesorjih. Načrtovalci se pogosto soočijo z dilemo, katero izmed tehnik uporabiti, kolikšno je izboljšanje in katere kompromise zahteva. V procesorju se srečujeta strojna in programska oprema, zato mora biti vsaka optimizacija ocenjena v kontekstu izvedljivosti programske opreme in implementacije strojne opreme. Izpostavljenemu izzivu sistemske kompleksnosti se posvečamo s pomočjo primerjalne sistemske analize procesorja Beyond BA20 v razumnih omejitvah načrtovanja programske in strojne opreme. Vsako izmed implementacij oziroma arhitekturnih izbir ocenimo v luči učinka na porabo moči. Ključne besede: nizka poraba moči, mikroprocesor, procesor, sinteza, standardne celice, VLSI, arhitektura.

16

17 Abstract Many techniques to achieve better energy efficiency have been proposed and applied in various processors. Designers are often faced with dilemma which technique to implement and what are the improvements and tradeoffs that certain technique brings. The processor is the point where software and hardware meet, and any potential optimization has to be evaluated in context of feasibility in regard to software and hardware implementation constraints. This is exposing systemic complexity challenges that we address through systemic analysis of Beyond BA20 in comparison with reasonable practical limitations imposed by hardware and software design. Each implementation or architectural choice, identified to be important, is evaluated in terms of power consumption impact. Keywords: low power, microprocessor, processor, synthesis, standard cell, VLSI, architecture.

18

19 Poglavje 1 Uvod Leta 1965 je Gordon Moore za Electronic Magazine zapisal [6]: The complexity for minimum component costs has increased at a rate of roughly a factor of two per year. Certainly over the short term this rate can be expected to continue, if not to increase. Over the longer term, the rate of increase is a bit more uncertain, although there is no reason to believe it will remain nearly constant for at least 10 years. Skoraj 50 let kasneje njegovo opažanje še vedno drži, le čas podvojitve komplesknosti je nekoliko drugačen. Navadno Moorov zakon razumemo, da se, ob nespremenjenih stroških, število tranzistorjev na enaki površini podvoji vsakih 18 mesecev. Povečanje števila tranzistorjev pa na drugi strani implicira njihovo zmanjšanje v enakem razmerju. Zgodovinsko je bila glavna implikacija tega zakona nenehno povečevanje števila logičnih elementov na integriranem vezju in tako vse zmogljivejša logična vezja. Včasih spregledan vidik Moorovega zakona je, da se z zmanjšanjem tranzistorjev zmanjšuje tudi poraba moči posameznega tranzistorja. Z mobilnimi in pametnimi napravami ter v zadnjem času priljubljenim internetom stvari se pozornost trga elektronskih naprav vse bolj usmerja v vgrajene sisteme. Ti sistemi, ki opravljajo naloge okoljskih merilnikov, srčnih spodbujevalnikov, označevalnih čipov, kuhinjskih pripomočkov in zabavne elektronike, so pogosto baterijsko napajani. Čeprav imajo navadno ozek nabor nalog, je njihovo srce vseeno splošno-namenski mikroprocesor. Ti procesorji so javnosti manj znani kot visokocenovni procesorji za namizne računalnike, toda njihovo prisotnost je čutiti povsod. Medtem ko procesorji namenjeni namiznim računalnikom porabijo na desetine in stotine vatov, posebno hlajenje pa je zahtevano že v skromnih strežniških 1

20 2 POGLAVJE 1. UVOD sobah, vgrajeni mikroprocesorji delujejo v območju milivatov in milimetrov. Sistem z vgrajenim mikroprocesorjem večino časa prebije v stanju mirovanja. V tem načinu večini vezja odvzamemo urin signal in tako zagotovimo, da se ohranja stanje. Ob prihodu zahtev za procesiranje se mikroprocesor zbudi, obdela naloge, nato pa spet vrne v stanje mirovanja. Poraba moči sistema v stanju mirovanja je nekaj redov manjša od porabe moči med aktivnim delovanjem. Da bi minimirali povprečno porabo moči, moramo zagotoviti, da je čas obdelovanja zahtev čim krajši, a hkrati pazljivo dodajati procesne kapacitete, saj te povečujejo kompleksnost in velikost logičnega vezja ter njegovo skupno porabo. Mikroprocesorji izvajajo programe, shranjene v glavnem pomnilniku, ki je v primeru vgrajenih sistemov na istem čipu. Statični pomnilniki v primerjavi z mikroprocesorji zasedajo velik del površine čipa ter porabijo nezanemarljiv delež moči. Zato je pomembno, da so pomnilniki čim manjši. Pogosto je razpoložljiv pomnilnik ena izmed glavnih težav, s katero se mora spopasti programer vgrajenega sistema, vendar tudi učinkovitosti reševanja nalog ne moremo zanemariti. Med pristopi, ki povečujejo zmogljivost programske opreme, so optimizacije pri prevajanju, kot sta razvijanje zank in funkcijsko vstavljanje. Izbira takih optimizacij pa poveča velikost prevedene kode ter stimulira potrebo po večjih, energijsko potratnejših pomnilnikih. Tako procesor kot glavni pomnilnik v vgrajenem sistemu zahtevata, da poiščemo ustrezno ravnotežje v načrtovanju in izvedbi integriranega vezja ter programske opreme, saj vpliva enega na drugega ne moremo zanemariti. V diplomskem delu smo raziskovali vpliv funkcijskega nabora ter takta delovanja vezja in tehnik prevajanja programske opreme na povprečno porabo moči. Poskušali smo odkriti pravi nabor parametrov, oziroma ponuditi podatke in pravila, ki bi načrtovalcu sistema pomagala najti pravo razmerje.

21 Poglavje 2 Mikroprocesor Beyond BA20 Uporabili smo mikroprocesor podjetja Beyond Semiconductors BA20. BA20 je najmanjši predstavnik družine mikroprocesorjev, ki implementirajo Beyond Architecture 2. Cilj arhitekture BA2 je zagotovitev najmanjše velikosti prevedenih programov, kar je vgrajenim sistemom še posebej privlačna lastnost. 2.1 Arhitektura BA2 Beyond Architecture 2 je izpeljava in nadgradnja BA1 ukaznega nabora s poudarkom na doseganju minimalne velikosti prevedenih programov [18]. Ortogonalen RISC ukazni nabor je razširjen s kompozitnimi ukazi, s katerimi procesor opravi pogoste in prostorsko potratne naloge, kot so funkcijski prologi in epilogi. Arhitektura definira izvedenke posameznih ukazov s takojšnjimi operandi v 16-, 24-, 32- in 48-bitnih inačicah, prevajalnik pa izbere najkrajšo obliko ukaza, v katero lahko zapišemo takojšnji operand. Na ta način so programi, prevedeni v strojno kodo 10 do 40 odstotkov manjši od programov prevedenih v običajen 32-bitni RISC nabor [18]. Večina ukazov deluje nad operandi, shranjenimi v setu 32 ali 16 splošnonamenskih registrov. Izvedenke arhitekture obsegajo ukaze za delo z operandi v plavajoči vejici, celoštevilski aritmetiki, namenjeni digitalni obdelavi signalov, in uporabniško definirane ukaze. 3

22 4 POGLAVJE 2. MIKROPROCESOR BEYOND BA Organizacija Jedro procesorja tvori enota za izvajanje preprostih operacij v celoštevilski aritmetiki. Enoti za podatkovne dostope in prevzem ukazov v harvardski arhitekturi izvajata dostope do vgrajenega pomnilnika v enem ciklu. Kompleksnejše operacije, kot sta deljenje in množenje, se izvajajo v posebnih cevovodih, strojno pa je podprto ugotavljanje in premoščanje cevovodnih nevarnosti. Družino mikroprocesorjev BA2 sestavljajo še [19]: Beyond BA25 Advanced Application Processor, namenjen zahtevnim sistemom, ki poganjajo Linux in Android operacijske sisteme. Beyond BA22, namenjen zmogljivim aplikacijam, ki so občutljive na porabo in velikost. Beyond BA21, namenjen aplikacijam s poudarjeno pozornostjo nizki porabi energije.

23 Poglavje 3 Postopek določitve porabe moči Podatke o porabi moči mikroprocesorja BA20 smo pridobili na podlagi preklopne aktivnosti logičnih vrat in pomnilnih celic sinteznih implementacij mikroprocesorja med izvajanjem testnega programa, prevedenega z uporabo več naborov optimizacijskih možnosti. 3.1 Priprava implementacij Izvorno Verilog Register Transfer Language (RTL) kodo mikroprocesorja BA20 smo implementirali s pomočjo programskega paketa Cadence Encounter Digital Implementation System [21] (Encounter). Programski paket Encounter obsega vse korake, od načrtovanja in verifikacije vezja v RTL, do izdelave datotek, ki jih dostavimo proizvajalcem integriranih vezij [21]. Naš postopek implementacije smo omejili na naslednje korake: 1. Določitev parametrov mikroprocesorja, frekvence urinega signala ter izbire knjižnic, ki opisujejo tehnologijo integriranega vezja. Sintezi priskrbimo tudi podatke o kapacitivnosti in upornosti povezav v izbranem tehnološkem procesu. 2. Logična sinteza (Logic Synthesis), oziroma prevajanje abstraktnega RTL modela v RTL datoteko netlist [9], ki vsebuje zgolj logična vrata, pomnilne elemente ter tokovne ojačevalnike, ki so na voljo v knjižnici (technology library). 5

24 6 POGLAVJE 3. POSTOPEK DOLOČITVE PORABE MOČI 3. Razporeditev logičnih vrat (Placement) na neprekrivajoče lokacije na shemi integriranega vezja. Postopek poskuša minimirati razdalje med povezanimi logičnimi vrati ter čim bolj enakomerno pokriti dodeljeno površino integriranega vezja [9]. Površino integriranega vezja, ki je na voljo, smo določili samodejno, tako da logična vrata v datoteki netlist zasedajo 60 odstotkov površine. 4. Sintetiziranje urinega signala (Clock Insertion). V tem koraku orodje poveže urin signal s pomnilnimi celicami ter z vstavljanjem ustreznega števila ojačevalnikov in razcepov oblikuje drevesno strukturo [9], tako da zakasnitve urinega signala ne ogrozijo časovne diskretnosti logičnega vezja. Drevesna struktura je oblikovana tako, da se oblikujejo skupine pomnilnih celic, ki jim na podlagi funkcijskega opisa v RTL lahko selektivno odvzamemo urin signal [9]. Na ta način se skrči obseg drevesa, na katerem se v vsaki urini periodi dogajajo prehodi, kar zniža porabo energije ter omogoča uporabo preprostejših pomnilnih celic. Naprednejše nastavitve tega koraka omogočajo izkoriščanje zakasnitev urinega signala, kjer so zakasnitve logičnih vrat take, da znotraj zahtevane urine periode ni mogoče vzpostaviti stabilnega stanja vhodov pomnilnih celic (Clock skew scheduling) [9]. Razliko v zakasnitvah ure na izvornem in ciljnem sinhronem elementu lahko prištejemo minimalni urini periodi [5]. S časovno razporeditvijo prehodov urinega signala poleg tega dosežemo, da se vsi prehodi stanj pomnilnih celic ter nivojev urinega signala ne zgodijo sočasno, kar poveča odpornost na presluh ter enakomernejšo porabo energije. 5. Povezovanje logičnih vrat (Routing), tako da je zagotovljeno, da zakasnitve ne presegajo omejitev, podanih v prvem koraku oziroma, modificranih omejitev, ki so rezultat predhodnega koraka. 6. Shranjevanje stanja implementacije ter datoteke netlist, ki po koraku sintetiziranja urinega signala poleg logičnih vrat vsebuje tudi strukturo urinega drevesa. Mikroprocesor BA20 smo implementirali v vseh 24 kombinacijah vrednosti parametrov, navedenih v tabeli 3.1. V implementacijah smo uporabili nabor dveh 65-nanometerskih knjižnic standardnih celic visoko pragovne (High voltage threshold, HVT) in knjižnice s standardnim pragom (Standard voltage threshold, SVT).

25 3.2. DOLOČITEV PREKLOPNE AKTIVNOSTI 7 Parameter Vrednosti Frekvenca delovanja 25 MHz 50 MHz 75 MHz Množilnik Prisoten Ni prisoten Delilnik Prisoten Ni prisoten Število splošno-namenskih registrov Tabela 3.1: Nabor parametrov implementacije. Logična vrata knjižnice HVT imajo nižjo statično porabo moči kot SVT, toda večje zakasnitve [2]. Program Encounter nastavimo tako, da samodejno izbira elemente tako, da minimira skupno statično porabo [15], ter zadosti zahtevani urini periodi. Pričakujemo, da bodo izvedbe s krajšo zahtevano urino periodo vsebovale več logičnih vrat knjižnice SVT. Tehnologiji CMOS (Complementary Metal Oxide Semiconductor) integriranih vezij ter izvorom porabe moči se podrobneje posvečamo v posebnem poglavju. 3.2 Določitev preklopne aktivnosti Datoteko netlist mikroprocesorja, ki smo jo izvozili po koraku povezovanja, smo vključili v testno okolje (test bench) ter ga povezali z dvema modeloma RTL vgrajenega pomnilnika v harvardski arhitekturi. Pomnilnik, priključen na ukazno vodilo mikroprocesorja, smo organizirali 64-bitno, pomnilnik, povezan na podatkovno vodilo, pa 32-bitno. Testnem okolju smo dodali števce pomnilniških dostopov ter dodelili del naslovnega prostora navidezni napravi, ki procesorju omogoča komunikacijo s testnim okoljem. V pomnilnik smo naložili binarno datoteko s programom. Kot testni program smo izbrali program za vrednotenje procesorske zmogljivosti Dhrystone. Program Dhrystone prvenstveno ni namenjen vrednotenju zmogljivosti vgrajenih procesorskih sistemov [13], vendar proizvajalci vgrajenih mikorprocesorjev v publikacijah in promocijskih gradivih pogosto navajajo ravno na ta način izmerjeno zmogljivost [17]. Pomemben razlog pri izbiri je igral kratek čas izvajanja ter njegova pozornost celoštevilski aritmetiki [13]. Izvorno kodo smo prevedli z Beyond Architecture izvedbo prevajalnika Gnu

26 8 POGLAVJE 3. POSTOPEK DOLOČITVE PORABE MOČI Stikalo Hitrost Velikost Optimizacija -O3 -Os Razvijanje zank da ne Poravnava operandov da da Vstavljanje funckcij ne ne Tabela 3.2: Nabora optimizacijskih možnosti prevajalnika. Compiler Collection (GCC) z uporabo dveh naborov stikal. S prvim naborom smo optimirali velikost prevedene kode, z drugim pa hitrost izvajanja. navajamo v tabeli 3.2. Oba nabora S simulacijo prevedenih programov smo ustvarili datoteko, ki beleži prehode stanj vseh logičnih vrat v datoteki netlist (Value Change Dump, VCD). Ob tem smo zabeležili število dostopov do pomnilnika ter število urinih period izvajanja desetih ponovitev zanke programa Dhrystone. Na podlagi povprečnega števila urinih period C, potrebnih za izvedbo ene ponovitve Dhrystone zanke ter takta delovanja f, smo izračunali zmogljivost sistema v količini Dhrystone MIPS [13]: DMIP S = f I 1757 C. (3.1) 3.3 Določanje porabe moči Datoteko s preklopno aktivnostjo vezja, datoteko netlist, knjižnice, ki opisujejo električne lastnosti logičnih vrat ter nastavitev urine periode, s katero smo omejili implementacijo mikroprocesorja, smo uvozili v Cadence Encounter Power System (EPS). Orodje EPS omogoča oceno porabe moči s statičnim pristopom ter dinamičnim z uporabo testnih vektorjev [20]. Statična analiza določi porabo moči na podlagi podane vrednosti preklopne aktivnosti - verjetnosti, s katero se na logičnem elementu zgodi prehod. Odločili smo se za dinamično analizo, s katero porabo moči določamo z vektorji v datoteki s preklopno aktivnostjo. Sestavili smo poročila, iz katerih je moč razbrati porabo moči glede na vrsto logičnih vrat oziroma pomnilnih celic, moči porabljene na drevesu urinega signala in porabo moči,

27 3.3. DOLOČANJE PORABE MOČI 9 ki pripada preklopom logičnih stanj ter statični porabi.

28 10 POGLAVJE 3. POSTOPEK DOLOČITVE PORABE MOČI

29 Poglavje 4 Viri porabe moči v tehnologiji CMOS V tehnologiji CMOS obstajajo trije glavni izvori porabe moči, ki ustrezajo trem kategorijam tokov [1]: polnjenje in praznjenje kapacitivnih bremen kratkostični tok tokovi mirovnega stanja 4.1 Polnjenje in praznjenje kapacitivnosti bremen Moč, ki se troši na izhodnem vozlišču med polnjenjem in praznjenjem kapacitivnosti, oziroma prehodom med stanjema logične ničle in enice, definiramo kot dinamično, P d. Kapacitivnost bremena C load je sestavljena iz kapacitivnosti izhoda logičnih vrat, kapacitivnosti povezav izhoda vrat z vhodi povezanih vrat ter kapacitivnosti povezanih vrat. Moč je tako podana z [1]: kjer je: P d = 1 2 αc load V 2 dd f (4.1) 11

30 12 POGLAVJE 4. VIRI PORABE MOČI V TEHNOLOGIJI CMOS P d : dinamična poraba moči logičnih vrat α: faktor preklopne aktivnosti C load : kapacitivnost bremen logičnih vrat V dd : napajalna napetost f: frekvenca urinega signala Faktor α določa preklopno aktivnost signala v času ene urine periode, ta pa v idealiziranem sistemu leži na intervalu [1]: 0 α 1. (4.2) V obravnavi idealiziranega sistema zanemarimo prehode, ki lahko nastanejo zaradi neuravnoteženih struktur logičnih vrat z zakasnitvami. Slika 4.1 ilustrira tako vezje. Prehod na vhodu B zakasni negator; tako sta na vhodu logičnih vrat XOR 100ns prisotni logični enici, kar povzroči neželeni prehod izhoda v logično ničlo ter nazaj. Te prehode imenujemo logični hazardi. Raziskave različnih struktur seštevalnikov spodbujanih z naključno generiranimi števili izkazujejo preklopno aktivnost, ki je med 10 in 20 odstotki višja od pričakovane. Sistemi s faktorjem α > 6 pa so bili identificirani v vezjih z občutno raznolikimi podatkovnimi potmi, kot so množilniki [3]. Predpostavki 4.2 idealiziranega sistema v simulaciji zadostimo tako, da zanemarimo zakasnitve logičnih vezij. Tako se vsi prehodi zgodijo sočasno in največ enkrat v eni urini periodi. Našo analizo smo omejili na tak sistem. To poenostavitev smo izbrali zaradi občutno manjše računske zahtevnosti takega procesa ter načrtne uporabe tehnik, ki zmanjšujejo možnosti hazardnih preklopov pri načrtovanju RTL mikroprocesorja. Take tehnike so na primer ohranjanje podatkovnih vhodov v znanih nespremenljivih stanjih. S primerjavo porabe moči idealiziranega sistema s sistemom, v katerem upoštevamo zakasnitve, bi dobili oceno, kako učinkovito so bile metode izogibanja neželenim prehodom uporabljene. 4.2 Kratkostični tok Na sliki 4.2 [8] je prikazan negator v tehnologiji CMOS. Komplementarni par tranzistorjev je povezan med napajalno napetost V DD in ozemljitvijo V SS, tako da

31 4.3. TOKOVI MIROVNEGA STANJA 13 Slika 4.1: Vezje, občutljivo na logične hazarde. je glede na napetost na njunem vhodu eden odprt, drugi pa zaprt. Ko je vhodna napetost višja od pragovne napetosti n-kanalnega MOS tranzistorja V > V T n (4.3) je odprt spodnji n-kanalni tranzistor, tako da je sklenjena pot med VSS in izhodom, kar ustreza stanju logične ničle. V primeru, ko pa je napetost V < V T p (4.4) pa je odprt zgornji, p-kanalni tranzistor in povezava med VDD in izhodom, kar ustreza logični enici. Ob prehodu napetosti, ko je ta med pragovnima napetostima, sta sočasno odprta oba tranzistorja in med VDD in VSS steče kratkostični tok. V T p < V < V T n (4.5) Karakteristiko kratkostičnega toka med prehodom logične ničle v enico ilustriramo na sliki 4.3 [8]. Največji tok teče, ko je vhodna napetost na polovici napajalne. Daljši kot je prehod vhodne napetosti med V T n in V T p, več energije se zaradi kratkostičnega toka porabi. Hitrejši prehodi porabo energije zmanjšajo, vendar zahtevajo potratnejše tranzistorje MOSFET v izhodih logičnih vrat in tako posledično večjo porabo za njih [3]. 4.3 Tokovi mirovnega stanja Čeprav je bilo obvladovanje tokov mirovnega stanja v tehnologiji izdelave dinamičnih pomnilnikov neobhodno pomembno že od nekdaj, so bili ti tokovi pri

32 14 POGLAVJE 4. VIRI PORABE MOČI V TEHNOLOGIJI CMOS Slika 4.2: Negator v tehnologiji CMOS. Slika 4.3: Kratkostični tok v tehnologiji CMOS.

33 4.3. TOKOVI MIROVNEGA STANJA 15 Slika 4.4: Naraščanje deleža statične moči. izdelavi logičnih vrat CMOS zanemarljivi. Z uvedbo 250-nanometerskih in manjših tehnologij pa so ti tokovi postali pomembnejši [3], njihov vpliv se z vsako novo generacijo integriranih vezij CMOS povečuje, kot je moč razbrati s slike 4.4 [7]. Šibek tok teče tudi, ko je tranzistor v izklopljenem stanju. Ta tok imenujemo podpražno puščanje (leakage) in je odvisen od pragovne napetosti. Skaliranje tehnologije zahteva zniževanje napajalne napetosti, tako da se omeji dinamična poraba. Zniževanje napajalne napetosti pa zahteva zniževanje pragovne napetosti ter posledično povečevanje podpragovnih tokov [11]: I sub = KW e V th nt ( 1 e V dd T ) (4.6) V tej enačbi smo z W označili širino vrat, s K in n konstanti, s T temperaturo ter z V th in V dd pragovno in napajalno napetost. Statična poraba moči je torej v nasprotni eksponentni odvisnosti V th. Izbira višje pragovne napetosti sicer zniža podpragovne tokove, vendar doseganje višjega napetostnega praga zahteva več časa in sprememba logičnega nivoja se zgodi kasneje. Tako lahko ugotovimo, da bodo visoko pragovni logični elementi, ob isti napetostni karakteristiki, zahtevali močnejše izhode logičnih vrat. Iskanje ustreznih kombinacij visoko in nizko pragovnih logičnih vrat z mislijo na minimalno porabo moči torej zahteva izbiro

34 16 POGLAVJE 4. VIRI PORABE MOČI V TEHNOLOGIJI CMOS elementov z visokim pragom, da bi omejili statično porabo, a hkrati izbiro elementov z nizkim pragom, ko poti preko velikega števila vrat zahtevajo hitrejše preklope.

35 Poglavje 5 Analiza podatkov Podatke, pridobljene v postopku, opisanem v poglavju 3, smo primerjali znotraj vrste parametra, da bi izluščili njihove posamične vplive. Definirali smo breme L kot količino procesiranja, ki ga procesor mora opraviti, in ga izrazili z Dhrystone MIPS, tako kot zmogljivost D, ki smo jo izmerili s 3.1. Za analizo povprečne porabe moči je uporaba bremena kot neodvisne spremenljivke ključna, saj razmerje bremena in zmogljivosti določa razmerje dolžine časovnih intervalov, v katerih je procesor aktiven t a oziroma v mirovnem stanju t s. L D = t a t a + t s (5.1) Vrste porabe moči razvrstimo glede na stanji mikroprocesorja, v katerih se trošijo. V mirovnem stanju se troši zgolj statična moč P l, v aktivnem pa poleg tega še dinamična moč P d ter moč, ki izvira iz kratkostičnih tokov P s. Povprečno moč P torej lahko izrazimo kot seštevek prispevkov posameznih kategorij moči v deležu, v katerem se trošijo: t a P = (P d + P s + P l ) + P l (5.2) t a + t s t a + t s = L ( D (P d + P s + P l ) + 1 L ) P l (5.3) D = L D P a + P l (5.4) t s 17

36 18 POGLAVJE 5. ANALIZA PODATKOV Frekvenca delovanja Delež HVT Delež SVT Skupna površina logičnih vrat 25 MHz 97.1 % 2.9 % µm 2 50 MHz 86.6 % 13.4 % µm 2 75 MHz 72.1 % 27.9 % µm 2 Tabela 5.1: Deleži vrste logičnih vrat v odvisnosti od minimalnega takta. kjer smo s P a označili moč, ki se troši v stanju aktivnosti: P t = P d + P s, (5.5) označimo pa še skupno moč P t, kot seštevek vseh vrst moči: P t = P d + P s + P l. (5.6) 5.1 Vpliv frekvence delovanja Frekvenca delovanja v vgrajenem sistemu je najpomembnejši faktor, ki določa zmogljivost sistema. Pomnilnik je s procesorjem tesno povezan in dostopen v enem urinem ciklu. Vgrajeni enoizstavitveni mikroprocesor BA20 se tako izogne Von Neumanovemu ozkemu grlu in s skaliranjem frekvence dosežemo linearno povečanje zmogljivosti. Pregled poročil uporabljenih logičnih vrat potrjuje našo domnevo, da imajo konfiguracije, ki smo jih implementirali z višjim taktom delovanja, večji delež logičnih vrat knjižnice s standardnim napetostnim pragom, kot navajamo v tabeli 5.1. S povečevanjem deleža logičnih vrat SVT se povečuje delež statične moči (slika 5.1), ki ni odvisna od števila preklopov. Del povečane porabe pripada večjemu številu logičnih vrat oziroma večji skupni površini, ki jo logična vrata zasedajo, vendar slika 5.2 ilustrira, da pretežni del povečanja prispeva višji delež logičnih vrat SVT. Povečanje porabe, ki izvira iz dinamične in kratkostične moči enake logične strukture vrat (4.1), je sorazmerno številu preklopov v vezju, vendar se implementacije procesorja na višjih frekvencah razlikujejo (tabela 5.1). Povečanje površine

37 5.1. VPLIV FREKVENCE DELOVANJA P l Minimalna frekvenca delovanja Slika 5.1: Statična moč konfiguracij, implementiranih z različnimi minimalnimi frekvencami delovanja (mw) Relativno povecanje P l Povecanje / m 2 P l / P l (/Mhz) Minimalna frekvenca delovanja Slika 5.2: Povečanje statične porabe moči v odvisnosti od minimalne frekvence delovanja ter povečanje, normirano s skupno površino logičnih vrat.

38 20 POGLAVJE 5. ANALIZA PODATKOV f P d P s P a P l µw/mhz 2.45 µw MHz 9.87 µw MHz 2.6 mw µw/mhz 2.84 µw MHz µw MHz 4.2 mw µw/mhz 3.97 µw MHz µw MHz 9.2 mw Tabela 5.2: Vrste porabe moči konfiguracij, implementiranih na različnih frekvencah delovanja. lahko pripišemo kompleksnejšim izvedbam posameznih podstruktur, kot so seštevalniki z vnaprejšnjim izračunom prenosa in potrebi po hitrejših preklopih, ki jih dosežemo z uporabo večjih inačic vrat z močnejšimi izhodi. Razmerje elementov HVT in SVT vpliva tudi na dinamično porabo, vendar z nasprotnim učinkom kot na statično porabo. Povečan delež vrat knjižnice s standardnim napetostnim preklopnim pragom zmanjša relativni delež dinamične moči, če primerjavo normiramo s skupno površino in frekvenco delovanja. Slika 5.3 ilustrira, da je moč povprečnega preklopa posamičnih vrat v implementacijah procesorja z minimalnim taktom delovanja 75 MHz, približno pet odstotkov nižja kot v 25 MHz implementacijah. 5.2 Vpliv funkcijskih enot Prisotnost množilnika poveča število logičnih vrat, zato je povečanje porabe moči v vseh kategorijah pričakovano, po drugi strani pa množilnik izboljša zmogljivost sistema. Program Dhrystone je izvedel 40 množenj v desetih iteracijah, kar predstavlja 1.2 odstotka vseh izvedenih ukazov. Pospešitev izvajanja programa je 7.5- odstotna. To je več kot je razlika v skupni porabi moči, ki ne presega 4 odstotkov. Tako lahko obstaja procesno breme, pri katerem bi implementacija brez množilnika dosegla povprečno moč implementacije z množilnikom, če le to breme ni večje od zmogljivosti ali manjše od 0. V prvem primeru velja, da prva izmed implementacij vedno troši manj moči, v drugem pa, da je izenačitveno breme večje od zmogljivosti. Takega bremena seveda ni mogoče doseči. To točko lahko izračunamo, če rešimo enačbo, v kateri izenačimo povprečno moč obeh konfiguracij (5.4) in rešimo

39 5.2. VPLIV FUNKCIJSKIH ENOT avg(p d + P s ) Minimalna frekvenca delovanja Slika 5.3: Primerjava povprečnih dinamičnih moči logičnih elementov. Kot osnovo smo vzeli povprečno moč, ki se troši na logičnih elementih implementacije procesorja pri 25 MHz. za L 0 : 0 = L 0 D 1 P a1 + P l1 L 0 D 2 P a2 + P l2 (5.7) L 0 = (D 1D 2 (P l1 P l2 )) (D 1 P a2 D 2 P a1 ) (5.8) oziroma grafično poiščemo presečišče poltrakov, ki opisujeta povprečno moč P ene in druge implementacije, kot je ilustrirano na sliki 5.4. V tabeli 5.4 podajamo vrednosti izenačitve bremen za nabor implementacij, sestavljenih s 16 in 32 registri ter množilnkom in brez njega ter delilnikom in 25 MHz taktom. Opazimo lahko, da konfiguracije z 32 registri trošijo več moči pri vseh bremenih. Razlika v zmogljivosti ni zadostna, da bi odtehtala višjo statično moč in porabo aktivnega stanja. Hitrost izvajanja programa Dhrystone z delilnikom je med 60 in 70 odstotkov višja kot brez. V tabeli 5.5 so navedena bremena, do katerih so konfiguracije procesorja brez delilnika močnostno učinkovitejše. Nizke vrednosti so posledica prevladujočega vpliva prisotnosti delilnika na zmogljivost, izmerjeno z programom Dhrystone.

40 22 POGLAVJE 5. ANALIZA PODATKOV x 10 3 Brez mnozilnika Z mnozilnikom P (mw) DMIPS 7.84 uw Breme (DMIPS) Slika 5.4: Grafično iskanje bremena izenačitve povprečnih moči na primeru implementacij BA20 s taktom delovanja 25 MHz, 16 registri in delilnikom ob izvajanju programa, prevedenega s stikali za povečanje zmogljivosti. f Množilnik P a P l P t DMIPS/MHz DMIPS 25 ne 0.23 mw 1.81 µw 0.23 mw da 0.23 mw 2.19 µw 0.24 mw ne 0.25 mw 3.29 µw 0.25 mw da 0.25 mw 4.28 µw 0.25 mw ne 0.28 mw 5.32 µw 0.29 mw da 0.29 mw 7.18 µw 0.30 mw Tabela 5.3: Vpliv množilnika na zmogljivost in porabo moči. Konfiguracije vsebujejo delilnik in 16 splošno-namenskih registrov, zmogljivost pa smo ocenili med izvajajem programa, prevedenega za hitrost.

41 5.2. VPLIV FUNKCIJSKIH ENOT 23 Št. reg. Množ. L 0 Št. reg Množ. 16 ne da 16 ne < 0 32 ne 16 ne < 0 32 da 16 da < 0 32 ne 16 da < 0 32 da 32 ne da Tabela 5.4: Največje breme, do katerega je povprečna poraba moči konfiguracij v levih stolpcih manjša od konfiguracije v desnih stolpcih. V vrsticah, v katerih je breme označeno kot < 0, konfiguracija na desni troši manj moči pri vseh bremenih. Primerjane konfiguracije so bile implementirane na 25 MHz in vsebujejo delilnik. Št. reg. Množ L 0 16 ne da 0.05 da ne 0.09 da da 0.08 Tabela 5.5: Breme izenačene moči implementacij z in brez delilnika konfiguracij z minimalnim taktom delovanja 25 MHz, med izvajanjem programa, optimiranega za hitrost.

42 24 POGLAVJE 5. ANALIZA PODATKOV Kategorija O3 Os Količnik DMIPS/MHz Velikost zanke Tabela 5.6: Meritve izvajanja programa Dhrystone v O3 in Os. Števila pomnilniških dostopov ne navajamo. 5.3 Vpliv prevajalnih optimizacij Program Dhrystone smo prevedli z naboroma stikal, navedenih v tabeli 3.2. Ob tem omenimo, da smo upoštevali t.i. ground-rules - set pravil prevajanja programa Dhrystone. Ta pravila zahtevajo ločeno prevajanje modulov z izvorno kodo ter prepovedujejo združevanje procedur in optimizacijo v času povezovanja. Prepoved združevanja procedur zaobjema prepoved vnaprejšnjega izračuna klicev funkcij ob prevajanju ter vstavljanje funkcij [12, 14]. Prepoved optimizacij ob povezovanju pa preprečuje, da bi povezovalnik optimiral alokacije registrov ali celo predvidel rezultate funkcijskih klicev med ločeno prevedenima moduloma [12, 16]. Vpliv prevajalnih optimizacij vpliva na eni strani na število pomnilniških lokacij, ki jih program zaseda, na število pomnilniških dostopov ter zmogljivost in s tem čas, v katerem je procesor v aktivnem stanju. Izvajanje programa Dhrystone z obema naboroma optimizacij navajamo v tabeli 5.6. Števila dostopov do pomnilnika v tabeli ne navajamo, zapišemo lahko le, da program, preveden z optimizacijami velikosti, v povprečju 2- do 3-krat več dostopa do pomnilnika (dinamična poraba moči), kar ustreza količniku upočasnitve. Povprečna dinamična pomnilniška poraba moči programa z optimirano velikostjo je tako pri znanem bremenu 2- do 3-krat višja od porabe programa, prevedenega za hitrost. Vpliv različnih stikal na velikost prevedenih programov v arhitekturi x86 si bralec lahko ogleda v [10]. 5.4 Pregled porabe po vrstah elementov Velik del porabe moči v vseh konfiguracijah pripada pomnilnim celicam (slika 5.5). Najizraziteje na število pomnilnih celic vpliva izbira števila splošno-namenskih

43 5.4. PREGLED PORABE PO VRSTAH ELEMENTOV 25 Pomn. celice 34.0 % Urino drevo 14.0 % Log. vrata 52.0 % Slika 5.5: Dinamična poraba vrst logičnih celic. registrov. Registrski set s 16 registri vsebuje 480, set z 32 registri pa 992 pomnilnih celic, kar v konfiguracijah brez množilnika in delilnika predstavlja 27.3 in 32.6 odstotkov površine. Povečanje površine, ki jo zasedajo pomnilne celice, je odstotno. Primerjava porabe moči pomnilnih elementov razkrije, da je povečanje porabe aktivnega stanja zgolj 8.4-odstotna, medtem ko statična poraba naraste za 47.0 odstotkov. To očitno neravnovesje lahko razložimo, če upoštevamo, da je: razlika v zmogljivosti 16- in 32-registrskih konfiguracij zgolj 3.1-odstotna, kar implicira majhno izkoriščenost povečanega nabora registrov. Majhna izkoriščenost registrov pa pomeni malo sprememb stanja in tako majhno povečanje dinamične moči; ter, da je razlika v površini, ki jo te celice zasedajo, 50.1-odstotna, statična moč pa ni odvisna od preklopov. Povečano število registrov zahteva tudi večje urino drevo. Tudi tu opazimo podoben pojav: poraba statične moči urinega drevesa naraste za 33.5 odstotkov, dinamična poraba pa zgolj 10.1 odstotek. Argumenta majhne izkoriščenosti povečanega seta registrov tu ne moremo uporabiti neposredno, če ne upoštevamo metod, ki omejujejo razširjanje urinega signala (Clock Gating). Orodje na povezave urinega signala umesti logične elemente, kot so IN vrata in zapahi (clock gate) [4], na en vhod poveže urin signal, na drugega pa signal za omogočanje izhoda. Poleg tega orodje spremeni logiko, ki nadzira spremembe stanj pomnilnih celic, tako da

44 26 POGLAVJE 5. ANALIZA PODATKOV (a) Brez vrat na urinem signalu. (b) Z vrati na urinem signalu. Slika 5.6: Zapiranje urinega signala. jih združi v skupine, ter jih poveže na urin signal, ki izvira iz urinih vrat. Ko sprememba stanja pomnilnih elementov ni potrebna (slika 5.6), se celotni skupini odvzame urin signal, tako da se zapro urina vrata. Na ta način je število vhodov in obseg povezav, na katerih se na urinem signalu dogajajo prehodi, manjši, kar vodi do manjše dinamične porabe moči. Statična poraba moči pa se seveda poveča zaradi večjega urinega drevesa.

45 Poglavje 6 Sklepne ugotovitve in omejitve analize Izbira majhnega in na prevajalne možnosti močno občutljivega programa Dhyrstone omeji empirične izsledke naših meritev na izvajanje ravno tega programa. Prispevki posameznih funkcijskih enot k zmogljivosti se v primerih praktičnih programov močno razlikujejo, tako lahko na primer pričakujemo večjo občutljivost na prisotnost množilnika v programih, ki obdelujejo digitalne signale. Zmogljivost procesorja med izvajanjem specifičnega programa lahko izmerimo na podlagi simulacij funkcijskega modela ali celo emulatorja, ki upošteva zgradbo mikroprocesorja. Taka ocena zmogljivosti ne zahteva predhodne implementacije procesorja v elemente knjižnic integriranih vezij in je tako cenejša ter procesno in velikostno veliko manj zahtevna. V ilustracijo naj dodamo, da datoteke s preklopno aktivnostjo izvajanja zgolj desetih iteracij Dhrystone zasedejo več sto megabajtov prostora na disku, implementacije pa trajajo več ur. V analizi pridobljene podatke o porabi moči sedaj lahko združimo s funkcijsko simulacijo izmerjeno zmogljivostjo ter ponovimo ocene, ki smo jih opravili v poglavju 5. V analizi se nismo dotaknili možnosti izklopa napajanja delov vezja, ki ne vsebujejo arhitekturnega stanja. Tak pristop v veliki meri zmanjša vpliv porabe statične moči na povprečno moč. Kljub naštetemu lahko za mikroprocesor zapišemo nekatere ugotovitve - v večini konfiguracij se je prisotnost polnega 32-registrskega seta izkazala kot neučinkovita 27

46 28 POGLAVJE 6. SKLEPNE UGOTOVITVE IN OMEJITVE ANALIZE ne glede na breme. Statična poraba takih konfiguracij je toliko višja, da je minorno povečana zmogljivost ne odtehta. Zdi se, da bi bilo smiselno raziskati konfiguracije s še manj registri. Za prisotnost množilnika in delilnika, čeprav je vpliv slednjega v programu Dhrystone preizrazit, pa lahko zapišemo, da je koristna že ob majhnih bremenih.

47 Literatura [1] J. D. Alexander, Simulation Based Power Estimation For Digital CMOS, M.S. thesis, Aub. Univ. Auburn, Alabama, USA, 2003, str [2] H. I. A. Chen, E. K. W. Loo, J. B. Kuo, M. J. Syrzycki, Triple-Threshold Static Power Minimization in High-Level Synthesis of VLSI CMOS, Lecture Notes on Computer Science, Vol. 4464, str , [3] H. Kaeslin, Digital Integrated Circuit Design, New Delhi: Cambridge University Press, 2008, str [4] J. Kathuria, M. Ayoubkhan, A. Noor, A Review of Clock Gating Techniques, MIT International Journal of Electronic and Communication Engineering, Vol. 1, No. 2, 2011, str [5] J. Lu, B. Taskin, Post-CTS Delay Insertion, VLSI Design, Vol. 2010, str. 1-6, [6] G. E. Moore, Cramming more components onto integrated circuits, Electronics, zv. 38, št. 8, str. 2, [7] G. E. Moore, No exponential is forever: but Forever can be delayed!, IEEE Int. conf. Solid State Circuits, str , [8] A. Morgenshtein, Short-Circuit Power Reduction by Using High-Threshold Transistors, Journal of Low Power Electronics and Applications, Vol. 2, Issue 2, 2012, str. 1. [9] L. Scheffer, L. Lavagno, G. Martin, EDA for IC Implementation, Circuit Design, and Process Technology, Boca Raton: CRC Press, Taylor & Francis Group, 2006, pogl. 2, 5, 8. 29

48 30 LITERATURA [10] E. Stupache (2013). GCC x86 code size optimizations, Dostopno na: [11] V. Venkatachalam, M. Franz, Power Reduction Techniques For Microprocessor Systems, ACM Computing Surveys, Vol. 37, No. 3, str , [12] R. P. Weicker, Dhrystone Benchmark: Rationale for Version 2 and Measurement Rules, SIGPLAN Notices, Vol. 23, Issue 8, 1988, str [13] A. R. Weiss (2002), Dhrystone Benchmark: History, Analysis, Šcores and Recomendations, White Paper, EEMBC Certification Laboratories (ECL), LLC, str. 2, [14] Cpplib Internals, GNU Press, a division of Free Software Foundation, Boston, MA, USA, 2014, str [15] Low Power Implementation using RC, Cadence Design Systems, San Jose, CA 95134, USA. [16] Using the GNU Compiler Collection, GNU Press, a division of Free Software Foundation, Boston, MA, USA, 2014, str [17] (2014) Cortex M0-Processor. Dostopno na: [18] (2014) Beyond Architectures. Dostopno na: [19] (2014) Embedded Processor Cores. Dostopno na: [20] (2006) Voltagestorm Power and Power Rail Verification. Dostopno na: storm ds.pdf [21] (2012) SoC Encounter RTL-to-GDSII System. Dostopno na: encounter ds.pdf

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvantnih celičnih avtomatov SEMINARSKA NALOGA Univerzitetna

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Digitalni sistemi Vgrajeni digitalni sistemi Digitalni sistem: osebni računalnik

Prikaži več

DES

DES Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Model vezja Računalniški model in realno vezje Model logičnega negatorja Načini

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Programirljivi Digitalni Sistemi Digitalni sistem Digitalni sistemi na integriranem vezju Digitalni sistem

Prikaži več

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (

5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD ( 5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (angl. Complex Programmable Logic Device) so manjša

Prikaži več

Slide 1

Slide 1 Tehnike programiranja PREDAVANJE 10 Uvod v binarni svet in računalništvo (nadaljevanje) Logične operacije Ponovitev in ilustracija Logične operacije Negacija (eniški komplement) Negiramo vse bite v besedi

Prikaži več

Microsoft Word - avd_vaje_ars1_1.doc

Microsoft Word - avd_vaje_ars1_1.doc ARS I Avditorne vaje Pri nekem programu je potrebno izvršiti N=1620 ukazov. Pogostost in trajanje posameznih vrst ukazov računalnika sta naslednja: Vrsta ukaza Štev. urinih period Pogostost Prenosi podatkov

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Osnove jezika VHDL Strukturno načrtovanje in testiranje Struktura vezja s komponentami

Prikaži več

Microsoft PowerPoint - ORS-1.ppt

Microsoft PowerPoint - ORS-1.ppt ORGANIZACIJA RAČUNALNIŠKIH SISTEMOV Lastnosti integriranih digitalnih vezij ORS 2013, Igor Škraba, FRI Von Neumannov model računalnika (= matematični model in dejanski računalnik) ne določa tehnologije,

Prikaži več

DES11_realno

DES11_realno Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Delovanje realnega vezja Omejitve modela vezja 1 Model v VHDLu je poenostavljeno

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 12. junij 2013 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

Microsoft Word - Avditorne.docx

Microsoft Word - Avditorne.docx 1. Naloga Delovanje oscilatorja je odvisno od kapacitivnosti kondenzatorja C. Dopustno območje izhodnih frekvenc je podano z dopustnim območjem kapacitivnosti C od 1,35 do 1,61 nf. Uporabljen je kondenzator

Prikaži več

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funkciji izbiralnika. Tisti od 2 n izhodov y 0,.., y 2

Prikaži več

CelotniPraktikum_2011_verZaTisk.pdf

CelotniPraktikum_2011_verZaTisk.pdf Elektrotehniški praktikum Osnove digitalnih vezij Namen vaje Videti, kako delujejo osnovna dvovhodna logi na vezja v obliki integriranih vezij oziroma, kako opravljajo logi ne funkcije Boolove algebre.

Prikaži več

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni RAM stroj Nataša Naglič 4. junij 2009 1 RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni trak, pomnilnik ter program. Bralni trak- zaporedje

Prikaži več

Poskusi s kondenzatorji

Poskusi s kondenzatorji Poskusi s kondenzatorji Samo Lasič, Fakulteta za Matematiko in Fiziko, Oddelek za fiziko, Ljubljana Povzetek Opisani so nekateri poskusi s kondenzatorji, ki smo jih izvedli z merilnim vmesnikom LabPro.

Prikaži več

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Trditev: idealni enosmerni tokovni vir obratuje z močjo

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 4 - AV 4 Linije LTSpice, simulacija elektronskih vezij VIN - LV 1 Rozman,Škraba, FRI LTSpice LTSpice: http://www.linear.com/designtools/software/ https://www.analog.com/en/design-center/design-tools-andcalculators/ltspice-simulator.html

Prikaži več

Microsoft Word - CNC obdelava kazalo vsebine.doc

Microsoft Word - CNC obdelava kazalo vsebine.doc ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo mesto, april 2008 Ime in priimek študenta ŠOLSKI CENTER NOVO MESTO VIŠJA STROKOVNA ŠOLA STROJNIŠTVO DIPLOMSKA NALOGA Novo

Prikaži več

STAVKI _5_

STAVKI _5_ 5. Stavki (Teoremi) Vsebina: Stavek superpozicije, stavek Thévenina in Nortona, maksimalna moč na bremenu (drugič), stavek Tellegena. 1. Stavek superpozicije Ta stavek določa, da lahko poljubno vezje sestavljeno

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno izhodne naprave Laboratorijska vaja 5 - LV 1 Meritve dolžine in karakteristične impedance linije VIN - LV 1 Rozman,Škraba, FRI Model linije Rs Z 0, Vs u i u l R L V S - Napetost izvora [V] R S -

Prikaži več

Microsoft Word - A-3-Dezelak-SLO.doc

Microsoft Word - A-3-Dezelak-SLO.doc 20. posvetovanje "KOMUNALNA ENERGETIKA / POWER ENGINEERING", Maribor, 2011 1 ANALIZA OBRATOVANJA HIDROELEKTRARNE S ŠKOLJČNIM DIAGRAMOM Klemen DEŽELAK POVZETEK V prispevku je predstavljena možnost izvedbe

Prikaži več

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k 10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, ki ga sprejme antena in dodatni šum T S radijskega sprejemnika.

Prikaži več

Besedilo naloge:

Besedilo naloge: naliza elektronskih komponent 4. Vaja: Preverjanje delovanja polprevodniških komponent Polprevodniške komponente v močnostnih stopnjah so pogosto vzrok odpovedi, zato je poznavanje metod hitrega preverjanja

Prikaži več

Slide 1

Slide 1 Projektno vodenje PREDAVANJE 7 doc. dr. M. Zajc matej.zajc@fe.uni-lj.si Projektno vodenje z orodjem Excel Predstavitev Najbolj razširjeno orodje za delo s preglednicami Dva sklopa funkcij: Obdelava številk

Prikaži več

Microsoft Word - CelotniPraktikum_2011_verZaTisk.doc

Microsoft Word - CelotniPraktikum_2011_verZaTisk.doc Elektrotehniški praktikum Sila v elektrostatičnem polju Namen vaje Našli bomo podobnost med poljem mirujočih nabojev in poljem mas, ter kakšen vpliv ima relativna vlažnost zraka na hitrost razelektritve

Prikaži več

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah O opozorilih OPOZORILO: OPOZORILO označuje možnost poškodb lastnine, telesnih poškodb ali smrti. Dell Vostro 430 List s tehničnimi informacijami o nastavitvi in funkcijah Pogled s sprednje in zadnje strani

Prikaži več

Diapozitiv 1

Diapozitiv 1 RAČUNALNIŠKA ARHITEKTURA 9 Pomnilniška hierarhija RA - 9 2018, Škraba, Rozman, FRI Pomnilniška hierarhija - vsebina 9 Pomnilniška hierarhija - cilji: Osnovno razumevanje : Lokalnosti pomnilniških dostopov

Prikaži več

Strojna oprema

Strojna oprema Asistenta: Mira Trebar, Miha Moškon UIKTNT 2 Uvod v programiranje Začeti moramo razmišljati algoritmično sestaviti recept = napisati algoritem Algoritem za uporabo poljubnega okenskega programa. UIKTNT

Prikaži več

PRILOGA II Obrazec II-A Vloga za pridobitev statusa kvalificiranega proizvajalca elektri ne energije iz obnovljivih virov energije 1.0 Splošni podatki

PRILOGA II Obrazec II-A Vloga za pridobitev statusa kvalificiranega proizvajalca elektri ne energije iz obnovljivih virov energije 1.0 Splošni podatki PRILOGA II Obrazec II-A Vloga za pridobitev statusa kvalificiranega proizvajalca elektri ne energije iz obnovljivih virov energije 1.0 Splošni podatki o prosilcu 1.1 Identifikacijska številka v registru

Prikaži več

Osnove statistike v fizični geografiji 2

Osnove statistike v fizični geografiji 2 Osnove statistike v geografiji - Metodologija geografskega raziskovanja - dr. Gregor Kovačič, doc. Bivariantna analiza Lastnosti so med sabo odvisne (vzročnoposledično povezane), kadar ena lastnost (spremenljivka

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki Vodila, vzporedni (paralelni) vmesniki Vmesniki in vodila naprava 1

Prikaži več

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104 Šifra kandidata: Srednja elektro šola in tehniška gimnazija ELEKTROTEHNIKA PISNA IZPITNA POLA 1 11. junij 2014 Čas pisanja 40 minut Dovoljeno dodatno gradivo in pripomočki: Kandidat prinese nalivno pero

Prikaži več

LINEARNA ELEKTRONIKA

LINEARNA ELEKTRONIKA Linearna elektronika - Laboratorijske vaje 1 LINERN ELEKTRONIK LBORTORIJSKE VJE Priimek in ime : Skpina : Datm : 1. vaja : LSTNOSTI DVOVHODNEG VEZJ Naloga : Za podano ojačevalno stopnjo izmerite h parametre,

Prikaži več

UNIVERZA V LJUBLJANI FAKULTETA ZA MATEMATIKO IN FIZIKO Katja Ciglar Analiza občutljivosti v Excel-u Seminarska naloga pri predmetu Optimizacija v fina

UNIVERZA V LJUBLJANI FAKULTETA ZA MATEMATIKO IN FIZIKO Katja Ciglar Analiza občutljivosti v Excel-u Seminarska naloga pri predmetu Optimizacija v fina UNIVERZA V LJUBLJANI FAKULTETA ZA MATEMATIKO IN FIZIKO Katja Ciglar Analiza občutljivosti v Excel-u Seminarska naloga pri predmetu Optimizacija v financah Ljubljana, 2010 1. Klasični pristop k analizi

Prikaži več

Datum in kraj

Datum in kraj Ljubljana, 5. 4. 2017 Katalog znanj in vzorci nalog za izbirni izpit za vpis na magistrski študij Pedagoško računalništvo in informatika 2017/2018 0 KATALOG ZNANJ ZA IZBIRNI IZPIT ZA VPIS NA MAGISTRSKI

Prikaži več

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajanje prekinitvene rutine Dogodek GLAVNI PROGRAM (MAIN-OB1)

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Zaporedni vmesniki Zaporedni (serijski) vmesniki Zaporedni (serijski) vmesniki

Prikaži več

PKP projekt SMART WaterNet_Opis

PKP projekt SMART WaterNet_Opis PKP projekt SMART WaterNet Po kreativni poti do znanja (PKP) opis programa Program Po kreativni poti do znanja omogoča povezovanje visokošolskih zavodov s trgom dela in tako daje možnost študentom za pridobitev

Prikaži več

Avtomatizirano modeliranje pri celostnem upravljanju z vodnimi viri

Avtomatizirano modeliranje pri celostnem upravljanju z vodnimi viri Univerza v Ljubljani Fakulteta za gradbeništvo in geodezijo 36. Goljevščkov spominski dan Modeliranje kroženja vode in spiranja hranil v porečju reke Pesnice Mateja Škerjanec 1 Tjaša Kanduč 2 David Kocman

Prikaži več

VIN Lab 1

VIN Lab 1 Vhodno izhodne naprave Laboratorijska vaja 1 - AV 1 Signali, OE, Linije VIN - LV 1 Rozman,Škraba, FRI Laboratorijske vaje VIN Ocena iz vaj je sestavljena iz ocene dveh kolokvijev (50% ocene) in iz poročil

Prikaži več

Microsoft PowerPoint - CIGER - SK 3-15 Izkusnje nadzora distribucijskih transformatorjev s pomo... [Read-Only]

Microsoft PowerPoint - CIGER - SK 3-15 Izkusnje nadzora distribucijskih transformatorjev s pomo... [Read-Only] CIRED ŠK 3-15 IZKUŠNJE NADZORA DISTRIBUCIJSKIH TRANSFORMATORJEV S POMOČJO ŠTEVCEV ELEKTRIČNE ENERGIJE ŽIGA HRIBAR 1, BOŠTJAN FABJAN 2, TIM GRADNIK 3, BOŠTJAN PODHRAŠKI 4 1 Elektro novi sistemi. d.o.o.,

Prikaži več

Delavnica Načrtovanje digitalnih vezij

Delavnica Načrtovanje digitalnih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Procesorji Model računalnika, mikrokrmilnik CPE = mikrosekvenčnik + podatkovna

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec 2.TRAN analiza (Analiza v časovnem prostoru) Iskanje odziva nelinearnega dinamičnega vezja v časovnem prostoru Prehodni pojavi Stacionarno

Prikaži več

Microsoft Word - M docx

Microsoft Word - M docx Š i f r a k a n d i d a t a : ržavni izpitni center *M15178112* SPOMLNSKI IZPITNI ROK Izpitna pola 2 Četrtek, 4. junij 2015 / 90 minut ovoljeno gradivo in pripomočki: Kandidat prinese nalivno pero ali

Prikaži več

Microsoft Word - vaje2_ora.doc

Microsoft Word - vaje2_ora.doc II UKAZI 1. Napišite zaporedje ukazov, ki vrednost enobajtne spremenljivke STEV1 prepiše v enobajtno spremenljivko STEV2. Nalogo rešite z neposrednim naslavljanjem (zaporedje lahko vsebuje le 2 ukaza v

Prikaži več

(Microsoft Word - 3. Pogre\232ki in negotovost-c.doc)

(Microsoft Word - 3. Pogre\232ki in negotovost-c.doc) 3.4 Merilna negotovost Merilna negotovost je parameter, ki pripada merilnem rezltat. Označje razpršenost vrednosti, ki jih je mogoče z določeno verjetnostjo pripisati merjeni veličini. Navaja kakovost

Prikaži več

REALIZACIJA ELEKTRONSKIH SKLOPOV

REALIZACIJA ELEKTRONSKIH SKLOPOV Načrtovanje zaemc = elektronike 2 1 Katedra za elektroniko 2 Čemu? 3 Kdo? Katedra za elektroniko 4 Izziv: DC/DC stikalni napajalnik navzdol U vhod Vhodno sito Krmilno integrirano vezje NMOSFET NMOSFET

Prikaži več

Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefo

Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefo Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefonih. Obstaja precej različic, sam pa sem sestavil meni

Prikaži več

seminarska_naloga_za_ev

seminarska_naloga_za_ev Univerza v Ljubljani Fakulteta za elektrotehniko Matevž Seliger 8-kanalni Lightshow Seminarska naloga pri predmetu: V Horjulu, junij 2008 Kazalo: 1 Uvod... 3 1.1 Namen in uporaba izdelka... 3 2 Delovanje...

Prikaži več

Logični modul LOGO!

Logični modul LOGO! Logični modul LOGO! LOGO! Siemensov univerzalni logični modul LOGO! vsebuje: Krmilno enoto Enoto za prikaz in tipkovnico Napajalno vezje Vmesnik za spominski modul in PC kabel Funkcije, pripravljene za

Prikaži več

NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: Faks.: in

NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: Faks.: in NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: +386 1 729 6 460 Faks.: +386 1 729 6 466 www.nevtrin.si info@elektrina.si USB RFID READER Navodila za uporabo?

Prikaži več

ARS1

ARS1 Nepredznačena in predznačena cela števila Dvojiški zapis Nepredznačeno Predznačeno 0000 0 0 0001 1 1 0010 2 2 0011 3 3 Pri odštevanju je stanje C obratno (posebnost ARM)! - če ne prekoračimo 0 => C=1 -

Prikaži več

Microsoft Word - ARRS-MS-BR-07-A-2009.doc

Microsoft Word - ARRS-MS-BR-07-A-2009.doc RAZPIS: Javni razpis za sofinanciranje znanstvenoraziskovalnega sodelovanja med Republiko Slovenijo in Federativno Republiko Brazilijo v letih 2010 2012 (Uradni list RS št. 53/2009) Splošna opomba: Vnosna

Prikaži več

Microsoft PowerPoint - IPPU-V2.ppt

Microsoft PowerPoint - IPPU-V2.ppt Informatizacija poslovnih procesov v upravi VAJA 2 Procesni pogled Diagram aktivnosti IPPU vaja 2; stran: 1 Fakulteta za upravo, 2006/07 Procesni pogled Je osnova za razvoj programov Prikazuje algoritme

Prikaži več

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE

ELEKTRONIKA ŠTUDIJ ELEKTRONIKE ELEKTRONIKA ŠTUDIJ ELEKTRONIKE Umetni nos, Laboratorij za mikroelektroniko, FE Odprtokodni instrument, Red Pitaya, Ljubljana Senzorji krvnega tlaka, Hyb, Šentjernej Elaphe, elektronika omogoča električno

Prikaži več

INDUSTRIJA 4.0: PRILOŽNOSTI DIGITALNE PREOBRAZBE PROCESA RAZVOJA BARV IN PREMAZOV TOMAŽ KERN, BENJAMIN URH, MARJAN SENEGAČNIK, EVA KRHAČ

INDUSTRIJA 4.0:  PRILOŽNOSTI DIGITALNE PREOBRAZBE PROCESA RAZVOJA BARV IN PREMAZOV TOMAŽ KERN, BENJAMIN URH, MARJAN SENEGAČNIK, EVA KRHAČ INDUSTRIJA 4.0: PRILOŽNOSTI DIGITALNE PREOBRAZBE PROCESA RAZVOJA BARV IN PREMAZOV TOMAŽ KERN, BENJAMIN URH, MARJAN SENEGAČNIK, EVA KRHAČ AGENDA IZZIV OZADJE RAZISKAVE POSNETEK STANJA ANALIZA STANJA in

Prikaži več

ŠTEVCI PROMETA IN NJIHOVA UPORABA ZA NAMENE STATISTIK ČRT GRAHONJA

ŠTEVCI PROMETA IN NJIHOVA UPORABA ZA NAMENE STATISTIK ČRT GRAHONJA ŠTEVCI PROMETA IN NJIHOVA UPORABA ZA NAMENE STATISTIK ČRT GRAHONJA Navdih Poizvedovanje po BD podatkovnih virih, ki imajo časovno dimenzijo in so dostopni. Večji promet pomeni večje število dobrin in močnejšo

Prikaži več

Navodila za uporabo Mini prenosna HD kamera s snemalnikom

Navodila za uporabo Mini prenosna HD kamera s snemalnikom Navodila za uporabo Mini prenosna HD kamera s snemalnikom www.spyshop.eu Izdelku so priložena navodila v angleščini, ki poleg teksta prikazujejo tudi slikovni prikaz sestave in delovanja izdelka. Lastnosti

Prikaži več

Slide 1

Slide 1 INTERAKTIVNA MULTIMEDIJA P4 in P5 doc. dr. Matej Zajc Pregled P4 Pregled P3: 4 pristopi k načrtovanju interaktivnosti PACT P4: PACT Nadaljevanje Prototipiranje Izbrani zakoni interaktivnosti People Ljudje

Prikaži več

SZGG_2012_Dolsak_Sraj

SZGG_2012_Dolsak_Sraj Izdelava Huffovih krivulj in njihova analiza za izbrane padavinske postaje v Sloveniji Domen Dolšak, Mojca Šraj * Povzetek Prispevek predstavlja izdelavo, rezultate in analizo Huffovih krivulj za izbrane

Prikaži več

DES11_vmesniki

DES11_vmesniki Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Digitalni Elektronski Sistemi Vmesniki in sekvenčna vezja Zaporedna in vzporedna vodila 1 Vmesniki in vodila

Prikaži več

Diapozitiv 1

Diapozitiv 1 9. Funkcije 1 9. 1. F U N K C I J A m a i n () 9.2. D E F I N I C I J A F U N K C I J E 9.3. S T A V E K r e t u r n 9.4. K L I C F U N K C I J E I N P R E N O S P A R A M E T R O V 9.5. P R E K R I V

Prikaži več

Področje uporabe

Področje uporabe Regulator Področja uporabe Regulator DIALOG EQ je namenjen predvsem vodenju in nadziranju sistemov ogrevanja in hlajenja, lahko pa se uporabi tudi na različnih področjih avtomatizacije in inteligentnih

Prikaži več

Diapozitiv 1

Diapozitiv 1 Vhodno-izhodne naprave naprave 1 Uvod VIN - 1 2018, Igor Škraba, FRI Vsebina 1 Uvod Signal električni signal Zvezni signal Diskretni signal Digitalni signal Lastnosti prenosnih medijev Slabljenje Pasovna

Prikaži več

ISOFT , računalniški inženiring

ISOFT , računalniški inženiring ISOFT, računalniški inženiring Marko Kastelic s.p. Sad 2, 1296 Šentvid pri stični Spletna stran podjetja:http://www.isoft.si podjetja ISOFT Spletna stran sistema sledenja vozil track.si: http://www.track.si

Prikaži več

Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0

Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0 Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0 Hz Neomejeno 49,0 Hz-51,0 Hz Neomejeno 51,0 Hz-51,5

Prikaži več

Diapozitiv 1

Diapozitiv 1 RAČUNALNIŠKA ARHITEKTURA 8 Pomnilniške tehnologije RA - 8 2018, Škraba, Rozman, FRI Pomnilniške tehnologije - cilji 8 Pomnilniške tehnologije - cilji: Osnovno razumevanje pojmov: Hitrost in način dostopa

Prikaži več

Chapter 1

Chapter 1 - 1 - Poglavje 1 Uvod v podatkovne baze - 2 - Poglavje 1 Cilji (Teme).. Nekatere domene, kjer se uporabljajo podatkovne baze Značilnosti datotečnih sistemov Problemi vezani na datotečne sisteme Pomen izraza

Prikaži več

Poročilo projekta : Učinkovita raba energije Primerjava klasične sončne elektrarne z sončno elektrarno ki sledi soncu. Cilj projekta: Cilj našega proj

Poročilo projekta : Učinkovita raba energije Primerjava klasične sončne elektrarne z sončno elektrarno ki sledi soncu. Cilj projekta: Cilj našega proj Poročilo projekta : Učinkovita raba energije Primerjava klasične sončne elektrarne z sončno elektrarno ki sledi soncu. Cilj projekta: Cilj našega projekta je bil izdelati učilo napravo za prikaz delovanja

Prikaži več

Microsoft Word - SI_vaja5.doc

Microsoft Word - SI_vaja5.doc Univerza v Ljubljani, Zdravstvena fakulteta Sanitarno inženirstvo Statistika Inštitut za biostatistiko in medicinsko informatiko Š.l. 2011/2012, 3. letnik (1. stopnja), Vaja 5 Naloge 1. del: t test za

Prikaži več

Univerza v Ljubljani

Univerza v Ljubljani Univerza v Ljubljani Fakulteta za elektrotehniko Mario Trifković Programljivi 6 Timer Seminarska naloga pri predmetu Elektronska vezja V Ljubljani, junij 2009 Mario Trifković Programljivi 6 Timer 2 1.

Prikaži več

VHF1-VHF2

VHF1-VHF2 VHF BREZŽIČNI MIKROFONSKI KOMPLET VHF1: 1 CHANNEL VHF2: 2 CHANNELS NAVODILA ZA UPORABO SLO Hvala, ker ste izbrali naš BREZŽIČNI MIKROFONSKI KOMPLET IBIZA SOUND. Za vašo lastno varnost, preberite ta navodila

Prikaži več

GHOSTBUSTERS navodila za učitelje O PROJEKTU S tem projektom se učenci sami naučijo izdelati igro. Ustvariti morajo več ikon (duhcov ali kaj drugega)

GHOSTBUSTERS navodila za učitelje O PROJEKTU S tem projektom se učenci sami naučijo izdelati igro. Ustvariti morajo več ikon (duhcov ali kaj drugega) GHOSTBUSTERS navodila za učitelje O PROJEKTU S tem projektom se učenci sami naučijo izdelati igro. Ustvariti morajo več ikon (duhcov ali kaj drugega) in za vsako napisati svojo kodo. Dve ikoni imata isto

Prikaži več

Ime in priimek: Vpisna št: FAKULTETA ZA MATEMATIKO IN FIZIKO Oddelek za matematiko Statistika Pisni izpit 6. julij 2018 Navodila Pazljivo preberite be

Ime in priimek: Vpisna št: FAKULTETA ZA MATEMATIKO IN FIZIKO Oddelek za matematiko Statistika Pisni izpit 6. julij 2018 Navodila Pazljivo preberite be Ime in priimek: Vpisna št: FAKULEA ZA MAEMAIKO IN FIZIKO Oddelek za matematiko Statistika Pisni izpit 6 julij 2018 Navodila Pazljivo preberite besedilo naloge, preden se lotite reševanja Za pozitiven rezultat

Prikaži več

NETGEAR R6100 WiFi Router Installation Guide

NETGEAR R6100 WiFi Router Installation Guide Blagovne znamke NETGEAR, logotip NETGEAR in Connect with Innovation so blagovne znamke in/ali registrirane blagovne znamke družbe NETGEAR, Inc. in/ali njenih povezanih družb v ZDA in/ali drugih državah.

Prikaži več

Orodje za izvoz podatkov

Orodje za izvoz podatkov Pomoč uporabnikom -NA-SI-200, V6.13-00 IZUM, 2018 COBISS, COMARC, COBIB, COLIB, IZUM so zaščitene znamke v lasti javnega zavoda IZUM. KAZALO VSEBINE 1 Uvod... 1 2 Predstavitev orodja za izvoz podatkov...

Prikaži več

Učinkovita izvedba algoritma Goldberg-Tarjan Teja Peklaj 26. februar Definicije Definicija 1 Naj bo (G, u, s, t) omrežje, f : E(G) R, za katero v

Učinkovita izvedba algoritma Goldberg-Tarjan Teja Peklaj 26. februar Definicije Definicija 1 Naj bo (G, u, s, t) omrežje, f : E(G) R, za katero v Učinkovita izvedba algoritma Goldberg-Tarjan Teja Peklaj 26. februar 2009 1 Definicije Definicija 1 Naj bo (G, u, s, t) omrežje, f : E(G) R, za katero velja 0 f(e) u(e) za e E(G). Za v V (G) definiramo presežek

Prikaži več

Microsoft PowerPoint - CAD sistemi - pletenje za pdf

Microsoft PowerPoint - CAD sistemi - pletenje za pdf ELEKTRONIKA V PLETILSTVU slabosti mehanskega nadzora pletilskih procesov dragi mehanski nadzorni sistemi počasnost in okornost delovanja težavna regulacija počasen odziv obraba, staranje (trenje, rjavenje,...)

Prikaži več

Slovenska predloga za KE

Slovenska predloga za KE 23. posvetovanje "KOMUNALNA ENERGETIKA / POWER ENGINEERING", Maribor, 2014 1 ANALIZA VPLIVA PRETOKA ENERGIJE PREKO RAZLIČNIH NIZKONAPETOSTNIH VODOV NA NAPETOSTNI PROFIL OMREŽJA Ernest BELIČ, Klemen DEŽELAK,

Prikaži več

Uvodno predavanje

Uvodno predavanje RAČUNALNIŠKA ORODJA Simulacije elektronskih vezij M. Jankovec Pomagala za hitrejšo/boljšo konvergenco Modifikacija vezja s prevodnostimi Med vsa vozlišča in maso se dodajo upori Velikost uporov določa

Prikaži več

untitled

untitled UDK621.3:(53+54+621+66), ISSN0352-9045 Informacije MIDEM 40(2010)1, Ljubljana NAPAJALNI SISTEM BATERIJSKO PODPRTE RFID ZNAČKE 1 Kosta Kovačič, 2 Anton Pleteršek 1 IDS d.o.o. Ljubljana, Slovenia 2 University

Prikaži več

Base NET.cdr

Base NET.cdr Rešitev fiksnega radijskega odčitavanja Delovanje BaseNet je način odčitavanja porabe vode, toplote, elektrike, plina in delilnikov toplote v fiksnem radijskem omrežju. Merilnike v Sensus Base sistemu

Prikaži več

Microsoft Word doc

Microsoft Word doc SLO - NAVODILO ZA NAMESTITEV IN UPORABO Št. izd. : 122383 www.conrad.si ROČNI OSCILOSKOP VELLEMAN HPS140 Št. izdelka: 122383 1 KAZALO 1 MED UPORABO... 3 2 LASTNOSTI IN TEHNIČNI PODATKI... 3 3 OPIS SPREDNJE

Prikaži več

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, 2013 5.marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zaporedno ali b) vzporedno Določi nadomestno upornost

Prikaži več

Microsoft PowerPoint - cigre_c2_15.ppt [Compatibility Mode]

Microsoft PowerPoint - cigre_c2_15.ppt [Compatibility Mode] Univerza v Mariboru Fakulteta za elektrotehniko, računalništvo in informatiko Boštjan Polajžer, Drago Dolinar, Jožef Ritonja (FERI) bostjan.polajzer@um.si Andrej Semprimožnik (ELES) KAZALNIKI KAKOVOSTI

Prikaži več

Navodila za uporabo Mini snemalnik

Navodila za uporabo Mini snemalnik Navodila za uporabo Mini snemalnik www.spyshop.eu Pred vami so navodila za pravilno uporabo mini snemalnika in opis funkcionalnosti. Lastnosti snemalnika: Naziv Mere Teža Kapaciteta spomina Snemanje Format

Prikaži več

4.Racionalna števila Ulomek je zapis oblike. Sestavljen je iz števila a (a ), ki ga imenujemo števec, in iz števila b (b, b 0), ki ga imenujemo imenov

4.Racionalna števila Ulomek je zapis oblike. Sestavljen je iz števila a (a ), ki ga imenujemo števec, in iz števila b (b, b 0), ki ga imenujemo imenov 4.Racionalna števila Ulomek je zapis oblike. Sestavljen je iz števila a (a ), ki ga imenujemo števec, in iz števila b (b, b 0), ki ga imenujemo imenovalec, ter iz ulomkove črte. Racionalna števila so števila,

Prikaži več

ORA 1-3

ORA 1-3 OSNOVE RAČUNALNIŠKE ARHITEKTURE II 9 Glavni pomnilnik ORA 2-9 Igor Škraba, FRI Glavni pomnilnik in predpomnilnik Glavni pomnilnik je prostor iz katerega CPE bere ukaze in operande in vanj shranjuje rezultate.

Prikaži več

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s 1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni smeri obnaša kot nelinearen kondenzator, ki mu z višanjem

Prikaži več

predstavitev fakultete za matematiko 2017 A

predstavitev fakultete za matematiko 2017 A ZAKAJ ŠTUDIJ MATEMATIKE? Ker vam je všeč in vam gre dobro od rok! lepa, eksaktna veda, ki ne zastara matematičnoanalitično sklepanje je uporabno povsod matematiki so zaposljivi ZAKAJ V LJUBLJANI? najdaljša

Prikaži več

7. VAJA A. ENAČBA ZBIRALNE LEČE

7. VAJA A. ENAČBA ZBIRALNE LEČE 7. VAJA A. ENAČBA ZBIRALNE LEČE 1. UVOD Enačbo leče dobimo navadno s pomočjo geometrijskih konstrukcij. V našem primeru bomo do te enačbe prišli eksperimentalno, z merjenjem razdalj a in b. 2. NALOGA Izračunaj

Prikaži več

Event name or presentation title

Event name or  presentation title Marko Škufca Vodja programa BI, ADD d.o.o. Gorazd Cah Specialist področja Služba za informatiko, DARS d.d. Izziv Rešitev Rezultati... PROCESI + TEHNOLOGIJA + LJUDJE Poslanstvo: s sodobnimi pristopi in

Prikaži več

Sistemi Daljinskega Vodenja Vaja 1 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani

Sistemi Daljinskega Vodenja Vaja 1 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani Sistemi Daljinskega Vodenja Vaja 1 Matej Kristan Laboratorij za Strojni Vid Fakulteta za elektrotehniko, Univerza v Ljubljani matej.kristan@fe.uni-lj.si Sistemi Daljinskega Vodenja Ime: Matej Kristan Docent

Prikaži več

M-Tel

M-Tel Poročilo o meritvah / Test report Št. / No. 16-159-M-Tel Datum / Date 16.03.2016 Zadeva / Subject Pooblastilo / Authorization Meritve visokofrekvenčnih elektromagnetnih sevanj (EMS) Ministrstvo za okolje

Prikaži več

COBISS3/Medknjižnična izposoja

COBISS3/Medknjižnična izposoja 3/Medknjižnična izposoja 2.2 KATALOG Katalog nam omogoča: iskanje gradiva prikaz izbranih bibliografskih zapisov ali pripadajočih podatkov o zalogi iz lokalne baze podatkov v formatu COMARC vpogled v stanje

Prikaži več

Univerza v Mariboru Fakulteta za naravoslovje in matematiko Oddelek za matematiko in računalništvo Enopredmetna matematika IZPIT IZ VERJETNOSTI IN STA

Univerza v Mariboru Fakulteta za naravoslovje in matematiko Oddelek za matematiko in računalništvo Enopredmetna matematika IZPIT IZ VERJETNOSTI IN STA Enopredmetna matematika IN STATISTIKE Maribor, 31. 01. 2012 1. Na voljo imamo kovanca tipa K 1 in K 2, katerih verjetnost, da pade grb, je p 1 in p 2. (a) Istočasno vržemo oba kovanca. Verjetnost, da je

Prikaži več

Diapozitiv 1

Diapozitiv 1 RAČUNALNIŠKA ARHITEKTURA 5 Operandi RA - 5 2018, Škraba, Rozman, FRI Predstavitev informacije - vsebina 5 Operandi - cilji: Razumevanje različnih formatov zapisovanja operandov Abecede (znaki) Števila

Prikaži več

Microsoft PowerPoint - p_TK_inzeniring_1_dan_v5_shortTS.ppt [Compatibility Mode]

Microsoft PowerPoint - p_TK_inzeniring_1_dan_v5_shortTS.ppt [Compatibility Mode] Telekomunikacijski inženiring dr. Iztok Humar Vsebina Značilnosti TK prometa, preprosti modeli, uporaba Uvod Značilnosti telekomunikacijskega prometa Modeliranje vodovno komutiranih zvez Erlang B Erlang

Prikaži več

Microsoft PowerPoint - Sirikt-SK-FV.ppt

Microsoft PowerPoint - Sirikt-SK-FV.ppt E-učbeniki za izbrane naravoslovno-tehniške predmete E-books for selected science and technical subjects Slavko KOCIJANČIČ Univerza v Ljubljani, Pedagoška fakulteta slavko.kocijancic@pef.uni-lj.si Franc

Prikaži več