3 LOGIČNA VEZJA. 3.1 Osnovna kombinacijska krmilja - KIK

Podobni dokumenti
5 Programirljiva vezja 5.1 Kompleksna programirljiva vezja - CPLD Sodobna programirljiva vezja delimo v dve veliki skupini: CPLD in FPGA. Vezja CPLD (

DES11_realno

DES

Univerza v Ljubljani FAKULTETA ZA RAČUNALNIŠTVO IN INFORMATIKO Tržaška c. 25, 1000 Ljubljana Realizacija n-bitnega polnega seštevalnika z uporabo kvan

Delavnica Načrtovanje digitalnih vezij

CelotniPraktikum_2011_verZaTisk.pdf

DES11_vmesniki

Delavnica Načrtovanje digitalnih vezij

Analiza vpliva materiala, maziva in aktuatorja na dinamiko pnevmatičnega ventila

Diapozitiv 1

Delavnica Načrtovanje digitalnih vezij

Slide 1

Microsoft Word - ELEKTROTEHNIKA2_ junij 2013_pola1 in 2

DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan 2 Razdeljevalniki Razdeljevalnik (demultipleksor) opravlja funkcijo, ki je obratna funk

Logični modul LOGO!

Prekinitveni način delovanja PLK Glavni program (OB1; MAIN) se izvaja ciklično Prekinitev začasno ustavi izvajanje glavnega programa in zažene izvajan

Delavnica Načrtovanje digitalnih vezij

Microsoft Word - ELEKTROTEHNIKA2_11. junij 2104

Microsoft Word - avd_vaje_ars1_1.doc

Šolski center celje

Turingov stroj in programiranje Barbara Strniša Opis in definicija Definirajmo nekaj oznak: Σ abeceda... končna neprazna množica simbolo

Microsoft Word - Avditorne.docx

_ _BDA_CapitalSports_CS-Timer.indd

Univerza v Ljubljani

Microsoft PowerPoint - NDES_8_USB_LIN.ppt

RAM stroj Nataša Naglič 4. junij RAM RAM - random access machine Bralno pisalni, eno akumulatorski računalnik. Sestavljajo ga bralni in pisalni

Diapozitiv 1

Diapozitiv 1

seminarska_naloga_za_ev

UNIVERZA V LJUBLJANI FAKULTETA ZA RAČUNALNITVO IN INFORMATIKO tqca - Seštevalnik Seminarska naloga pri predmetu Optične in nanotehnologije Blaž Lampre

Strojna oprema

ARS1

VARIMOT® in pribor

Microsoft Word - UNI_Mlakar_Ziga_1987_E doc

Microsoft Word - D1_D8_Prakticno_izobrazevanje_PRI.doc

Luka Brinovšek Detektor fazne napake optičnega enkoderja Diplomsko delo Maribor, avgust 2011

VHF1-VHF2

Microsoft Word - M docx

Microsoft PowerPoint - ORS-1.ppt

KRMILNA OMARICA KO-0

STAVKI _5_

Poskusi s kondenzatorji

Datum in kraj

10. Meritev šumnega števila ojačevalnika Vsako radijsko zvezo načrtujemo za zahtevano razmerje signal/šum. Šum ima vsaj dva izvora: naravni šum T A, k

Vaje pri predmetu Elektronika za študente FMT Andrej Studen June 4, marec 2013 Določi tok skozi 5 V baterijo, ko vežemo dva 1kΩ upornika a) zap

Microsoft Word - CNC obdelava kazalo vsebine.doc

Base NET.cdr

Microsoft Word - vaje2_ora.doc

Poročilo za 1. del seminarske naloge- igrica Kača Opis igrice Kača (Snake) je klasična igrica, pogosto prednaložena na malce starejših mobilnih telefo

UNIVERZA V MARIBORU FAKULTETA ZA ELEKTROTEHNIKO, RAČUNALNIŠTVO IN INFORMATIKO Matevž Belej AVTOMATIZIRANA HIDRAVLIČNA STISKALNICA ZA ODPADNO EMBALAŽO

an-01-Stikalo_za_luc_za_na_stopnisce_Zamel_ASP-01.docx

Microsoft Word doc

Uvodno predavanje

PodroĊje uporabe

Uradni list RS - 12(71)/2005, Mednarodne pogodbe

Krmiljenje elektromotorj ev

1. Električne lastnosti varikap diode Vsaka polprevodniška dioda ima zaporno plast, debelina katere narašča z zaporno napetostjo. Dioda se v zaporni s

Vacon 100 FLOW Application Manual

Microsoft Word doc

Področje uporabe

Microsoft Word - EV,N_Poglavje o modulacijah.doc

Microsoft Word - NAVODILA ZA UPORABO.docx

DKMPT

Vgrajeni sistemi Uvod & ponovitev C

Vostro 430 Informacijski tehnični list o namestitvi in funkcijah

VIN Lab 1

Microsoft Word - NABOR MERILNE OPREME doc

Microsoft Word - M docx

Uradni list Republike Slovenije Št. 17 / / Stran 2557 Verzija: v1.0 Datum: Priloga 1: Manevri in tolerance zadovoljive izurjeno

REALIZACIJA ELEKTRONSKIH SKLOPOV

Člen 11(1): Frekvenčna območja Frekvenčna območja Časovna perioda obratovanja 47,0 Hz-47,5 Hz Najmanj 60 sekund 47,5 Hz-48,5 Hz Neomejeno 48,5 Hz-49,0

COBISS3/Medknjižnična izposoja

DES

Diapozitiv 1

an-01-sl-Temperaturni_zapisovalnik_podatkov_Tempmate.-S1.docx

innbox_f60_navodila.indd

Osnove matematicne analize 2018/19

Microsoft Word - UNI_Fekonja_Andrej_1988

Optimizacija z roji delcev - Seminarska naloga pri predmetu Izbrana poglavja iz optimizacije

AME 110 NL / AME 120 NL

Watch 40_MT40X_UM_SL.pdf

Microsoft Word - UP_Lekcija04_2014.docx

FOTO

Microsoft PowerPoint _12_15-11_predavanje(1_00)-IR-pdf

NEVTRIN d.o.o. Podjetje za razvoj elektronike, Podgorje 42a, 1241 Kamnik, Slovenia Telefon: Faks.: in

Naloge 1. Dva električna grelnika z ohmskima upornostma 60 Ω in 30 Ω vežemo vzporedno in priključimo na idealni enosmerni tokovni vir s tokom 10 A. Tr

Besedilo naloge:

SLO - NAVODILO ZA UPORABO IN MONTAŽO Št

MATLAB programiranje MATLAB... programski jezik in programersko okolje Zakaj Matlab? tipičen proceduralni jezik enostaven za uporabo hitro učenje prir

(Microsoft Word - 3. Pogre\232ki in negotovost-c.doc)

Cenik cene so brez DDV OG REVALN A TEHN I KA KONDENZACIJSKI KOTLI NA KURILNO OLJE Oljni kondenzacijski kotel - GTU C Lastnosti: Kompakten

Microsoft Word - Dokument1

Document ID / Revision : 0519/1.3 ID Issuer System (sistem izdajatelja identifikacijskih oznak) Navodila za registracijo gospodarskih subjektov

LINEARNA ELEKTRONIKA

Procesorski sistemi v telekomunikacijah

Microsoft Word - Navodila_NSB2_SLO.doc

Microsoft PowerPoint - ads

ELEKTRIČNI NIHAJNI KROG TEORIJA Električni nihajni krog je električno vezje, ki služi za generacijo visokofrekvenče izmenične napetosti. V osnovi je "

Transkripcija:

3 LOGIČNA VEZJA Načrtovanje in projektiranje logičnih vezij zajema naslednje korake: - definicija problema in opis tehnologije (tehnološka shema) - določanje vhodnih spremenljivk signalov (pritisne tipke komandnih pultov, senzorika, mikro-stikala, končna varnostna stikala, ) - določanje izhodnih spremenljivk kanalov (kontaktorji, motorji, pnevmatski ali hidravlični cilindri, ventili, signalizacija) - izdelava funkcijskih logičnih enačb in pravilnostnih tabel, določanje algoritmov delovanja - minimiziranje in optimiranje logičnih preklopnih funkcij - izdelava funkcijskih načrtov in časovnih diagramov - izdelava, preizkus in analiza logičnega vezja ( NAN, NO tehnologija) Logična vezja delimo v naslednje osnovne skupine: - osnovna kombinacijska vezja - aritmetična vezja - prekodirna vezja - multiplekser in demultiplekser - digitalna primerjalna vezja (komparator) 3. Osnovna kombinacijska krmilja - KIK a) sprostitev signala; prehod signala omogoči sprostitveni signal S. Vezje se uporablja za krmiljenje krmilnih relejev, kontrolo izhodnih signalov, b) zapora signala (zapahovanje); prehod signalov A, B, C, je preprečen s signalno zaporo S. Izhodni kanali so aktivni samo pri izklopljenem stikalu S. c) izbirno vezje x/y (2/3); ima dva ali več vhodov, na izhodu tega vezja se sme pojaviti signal le tedaj, če je aktivno samo določeno število vhodnih kanalov x od skupnega števila y. Na izhodu izbirnega vezja 2/3 se sme pojaviti signal le tedaj, ko sta hkrati postavljena samo 2 od treh vhodnih signalov. V vseh drugih primerih mora biti na izhodu signal 0. a) sprostitev signala b) zapora signala c) izbirno vezje 2/3 igitalni sistemi

Primer izvedbe kombinacijskega izbirnega krmilja KIK 2/3 Izdelati je potrebno kombinacijsko krmilje z naslednjimi karakteristikami: - kombinacijsko izbirno vezje tipa 2/3 - na istem izbranem izhodnem kanalu je dodan alarmni signal za primer sočasnega vklopa vseh 3 vhodnih signalov, oblika alarmnega signala je utripajoč signal s frekvenco Hz. Algoritem delovanja pravilnostna tabela in časovni diagram / prikaz delovanja z logičnim analizatorjem X3 X2 X Y KIK 2/3 Opomba 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Utripajoč signal Hz 2 Zapis Veitch-evega diagrama osnovnega algoritma KIK 2/3 X X2 X3 3 Funkcijska blok shema simulacija Winfact-BOIS igitalni sistemi 2

3. 2 Aritmetična vezja Popolni seštevalnik Popolni seštevalnik je razširitev polovičnega seštevalnika tako, da upošteva prenos z nižjega bita C i- in ga zato lahko uporabimo za seštevanje dveh binarnih števil na katerem koli mestu binarnega števila. Pravilnostna tabela: ai bi Ci- Si Ci Logične enačbe: Si = ai. bi.ci- + ai. bi.ci- + ai. bi.ci- + ai. bi.ci- = 0 0 0 0 0 0 0 0 = Ci-.(ai. bi + ai.bi) + Ci-.(ai. bi + ai.bi) = Ci-.(ai bi )+Ci-.(ai bi ) = 0 0 0 0 0 = Ci- (ai bi) 0 0 0 0 0 Ci = ai. bi.ci- + ai. bi.ci- + ai. bi.ci- + ai. bi.ci- = 0 0 = Ci-.(ai. bi + ai.bi) + ai. bi. (Ci- + Ci-) = Ci-.(ai bi ) + ai. bi Simbol in funkcijski načrt Z združitvijo polovičnega in popolnih seštevalnikov lahko sestavimo n bitni binarni seštevalnik. Primer 4- bitnega popolnega seštevalnika v IC tehnologiji je 4008. igitalni sistemi 3

Primeri seštevalnikov v IC tehnologiji: - 4008 4 bitni popolni seštevalnik s paralelnim prenosom - 4038 trojni serijski seštevalnik - 7480 popolni seštevalnik - 7482 2 bitni popolni seštevalnik - 7483 4 bitni popolni seštevalnik Aritmetično funkcijska enota 748 Active-low ata M=H M=L; Arithmetic Operations Selection LOGIC Cn=L Cn=H S3 S2 S S0 FUNCTIONS (no carry) (with carry) --------------------- -------------------- ------------------------------- ------------------------- 0 0 0 0 F= A F=A MINUS F=A 0 0 0 F= AB F= AB MINUS F=AB _ _ _ 0 0 0 F= A+B F= AB MINUS F=AB 0 0 F= F=MINUS (2's comp) F=Zero _ _ 0 0 0 F= A+B F=A PLUS (A+B) F=A PLUS(A+B) Plus _ _ _ 0 0 F= B F=AB PLUS(A+B) F=AB PLUS(A+B) Plus 0 0 F= A "+" B F=A MINUS B MINUS F= A MINUS B _ _ _ 0 F= A+B F= A+B F= (A+B) PLUS _ 0 0 0 F= AB F= A PLUS (A+B) F=A PLUS(A+B)PLUS 0 0 F= A "+" B F= A PLUS B F=A PLUS B PLUS _ 0 0 F = B F= AB PLUS (A+B) F=AB PLUS (A+B)PLUS 0 F = A + B F = (A + B) F=(A+B)PLUS 0 0 F = 0 F = A PLUS A F=A PLUS A PLUS _ 0 F = AB F= AB PLUS A F=AB PLUS A PLUS _ _ 0 F=AB F=AB PLUS A F=AB PLUS A PLUS F = A F = A F= A PLUS igitalni sistemi 4

3. 3 Pretvorniki kodov Kodirnik je logično vezje, ki vsakemu od 'm' vhodnih signalov priredi 'm' izhodnih kombinacij na 'n' izhodih. Splošna blokovna shema: X Y vhodni kanali 'm' izhodni kanali 'n' / velja: m 2 n Prekodirnik je namenjen pretvorbi zapisa iz enega koda v drugi kod, pri čemer je število vhodnih kanalov lahko enako številu izhodnih (prekodiranje med različnimi BC kodi) ali pa je število vhodnih in izhodnih signalov različno (prekodiranje BC koda v 7 segmentni kod: krmiljenje prikazovalnika LE). Splošna blokovna shema: X Y vhodni kanali 'm' izhodni kanali 'n' m = n; m n Blokovna shema prekodirnika BC / 7 segmentni kod za LE prikazovalnik: A B C a A b B c C d e f g BC/7 oločeni segment LE posveti takrat, ko je na pripadajočem vhodu a, b, c, logično stanje 0. Pravilnostna tabela BC / 7 prekodirnika: Minimizirane logične enačbe: C B A a b c d e f g N --------------------- ------------------------------------ f a = ABC + ABC 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f b = ABC + ABC 0 0 0 0 0 0 0 0 2 0 0 0 0 0 0 0 3 f c = ABC 0 0 0 0 0 0 0 4 0 0 0 0 0 0 0 5 f d = ABC + ABC + ABC 0 0 0 0 0 0 0 0 6 0 0 0 0 0 7 f e = A + BC 0 0 0 0 0 0 0 0 0 0 8 0 0 0 0 0 0 0 0 9 f f = AC + BC -------------------------- --------------------------------------------- 0 0 x f g = BC + ABC 0 x 0 0 x 0 x 0 x x igitalni sistemi 5

Primeri IC vezij: TTL 7446, 7447 BC / 7-segmentni dekoder - driver 7448, 7449 BC / 7-segmentni dekoder CMOS 4055, 4065 BC / 7-segmentni dekoder driver 45 BC / 7-segmentni dekoder 4555, 4556 2 x / 4 dekoder ekodirnik je logično vezje, ki pretvori določeni binarni kod iz 'n' vhodov na 'm' izhodnih linij, pri čemer vsaki izhodni liniji pripada enota informacije. Splošna blokovna shema: X Y vhodni kanali 'n' izhodni kanali 'm' / velja: m 2 n (BC / n= 4) (EC / m = 0) Primer simulacijskega krmilja dekodirnik/kodirnik 3. 4 Multiplekserska vezja igitalni sistemi 6

Multiplekser MUX je element, ki nekemu številu vhodnih signalov priredi odgovarjajoče manjše število izhodnih signalov, ki je odvisno od postavitve krmilnih selektivnih signalov. Velja pravilo, da pri številu 'n' selektivnih signalov lahko pripeljemo na vhod MUX 2 n vhodnih signalov. MUX nam v praksi pretvori množico vhodnih signalov v danem trenutku v en izhodni signal, v naslednjem v drugi izhodni signal itd. Govorimo o časovnem multipleksu. Stikalni ekvivalent 4/ MUX: Pravilnostna tabela: I0 S S0 I Y I 2 Y (I0, I, I2, I3) 0 0 I0 I2 3 0 I I3 4 0 I2 I3 Logična enačba: Y = I0.(S0. S) + I.(S0. S) + I2.(S0. S) + I3.(S0. S) Vezalna shema s simbolom MUX 4/: vhodni signali I0 I I2 I3 MUX izhodni signal Y s0 s selektivni signali Izvedbe MUX v IC: 7457 4 x 2-kratni 4-polni MUX (2/4) 405 8/ MUX 7453 2 x 4-kratni -polni MUX (4/) 4053 3x 3/2 MUX 74352 2 x 4 kratni -polni MUX (4/) 4067 6 MUX / MUX emultiplekser MUX je element, ki razširi manjše število vhodnih kanalov (lahko je samo en) na večje število izhodnih kanalov. Število izhodnih kanalov je odvisno od števila selektivnih krmilnih signalov in velja: m 2 n, pri čemer je 'm' število izhodnih kanalov, 'n' pa število selektivnih vhodov. Stikalni ekvivalent /4 MUX: Pravilnostna tabela: Logične enačbe: Y (I) S S0 I Y I 2 Y (I) 0 0 I Y0 Y0 = (S. S0). I 3 Y (I) 0 I Y Y = (S. S0). I 4 Y (I) 0 I Y2 Y2 = (S. S0). I I Y3 Y3 = (S. S0). I Vezalna shema s simbolom MUX /4: vhodni signal izhodni signali I MUX Y0 Y Y2 Y3 s s0 selektivni signali igitalni sistemi 7

3. 5 igitalni primerjalnik komparator CMP Komparator je logično vezje za primerjanje dveh binarnih števil A in B, kjer je rezultat primerjave lahko: X: A B Y: A = B Z: A B x = x = 0 x = 0 y = 0 y = y = 0 z = 0 z = 0 z = Blokovna shema: Pravilnostna tabela za -bitni komparator A n B 0 A 0 x y z X = A0. B0 A CMP B n B AB 0 0 0 0 0 AB 0 0 0 0 Y = A0. B0 + A0. B0 = A0 B0 A=B 0 0 0 Z = A0. B0 4 bitni komparator v izvedbi z IC 7485: LE A ajalnik logičnih stanj LE B A 0 (0) 7485 A (2) A 2 (3) A 3 (5) AB (7) A=B (6) B 0 (9) AB (5) B () B 2 4) (2) (3) (4) B 3 () IAB IA=B IA L H L Prikazovalnik logičnih stanj 3.6. WinFact primerjalnik igitalni sistemi 8

4 SEKVENČNA VEZJA Sekvenčna vezja sestavljajo logična vezja in pomnilni elementi ali pomnilne celice. Izhod iz sekvenčnega vezja 'y' je funkcija dovedenih vhodnih signalov 'x n' in notranjih stanj 'z n', v katerem se trenutno sekvenčno vezje nahaja. Sekvenčna vezja delimo na: - asinhronska; izhodi se postavljajo na osnovi vhodnih in notranjih stanj, - sinhronska; izhodi se postavljajo na osnovi vhodnih in notranjih stanj ter na osnovi dovedenega takta (clock pulse) in so časovno usklajena. x0 x x2 Z SISTEM y y = f (z n, x n) z n+= f (z n, x n) stanje sistema v trenutku n + z n stanje sistema v trenutku n x n stanje vhodnih spremenljivk v trenutku n 4. Osnovne pomnilne celice Pomnilne celice (pomnilni elementi, preklopni členi, bistabilni elementi, flip-flopi) so elementi, ki lahko zavzamejo dve stabilni stanji (0,) in imajo sposobnost, da si ob določenih pogojih svoje stanje zapomnijo. a) -S preklopni člen; je osnovna bitna pomnilna celica S S Časovni diagram Pravilnostna tabela: S ' 0 0 ss ss 0 0 Logične enačbe: S = = 0; = 0 =, = 0 = = 0; S = 0 =, = 0 0 0 / / ss staro stanje S vhod za postavljanje (set) / nedovoljeno stanje vhod za brisanje (reset) = 0, S = 0 ni zahteve za spremembo stanja =, S = hkratni signal za postavljanje in brisanje nedovoljeno stanje, v katerem lahko pomnilna celica zavzame katerokoli vrednost: 0 ali Simbol -S ff S S igitalni sistemi 9

Sinhronizirani -S preklopni člen S S S Karakteristična tabela pomnilne celice -S in karakteristična enačba: n Sn n+ 0 0 0 0 ss 0 0 0 0 0 0 / 0 0 ss 0 0 0 / n+= Sn. n + n. n = n. (Sn + n) brez upoštevanja nedovoljenih stanj n+= Sn + n. n. z upoštevanjem nedovoljenih stanj kot redundanc ss prenos starega stanja; / nedoločeno stanje, ki ne sme nastopiti n stanje ff v prejšnjem intervalu; n+ stanje ff v sedanjem intervalu S impulzom omogočimo spremembo izhodnega stanja flip-flopa, glede na stanje, ki je trenutno na vhodih in S. Pri načrtovanjih sekvenčnih vezij je pomembno, da poznamo vhodno kombinacijo in S v sekvenci n (predhodnem časovnem intervalu), da bomo dobili želeno stanje izhoda v sekvenci n + (sedanjem časovnem intervalu) ob znanem stanju n. Postavljanje in brisanje flip-flopa je možno le, če imamo na krmilnem vhodu signal. Pravilnostna tabela Vzbujevalna tabela: Sn, n = f (n, n+) ; X redundantno stanje: 0, S n+ n n+ Sn n 0 0 n 0 0 0 X 0 0 0 0 0 0 0 / X 0 oločanje stanja na osnovi danih vhodov oločanje vhodov S in na osnovi danega stanja S S Poleg osnovnega tipa S celice pa poznamo še celice tipa, JK in T, ki se razlikujejo po odzivih glede na vhodni signal. igitalni sistemi 0

b) pomnilna celica (elay) Kombinacija signalov S = = privede pri S preklopnem členu do nepredvidljivega obnašanja celice (lahko se postavi v stanje 0 ali stanje ). Ta primer bomo izključili na ta način, da na vhod pripeljemo negiran signal S. Funkcijski načrt: Minimizirani preklopni člen: Simbol: Karakteristična tabela pomnilne celice in karakteristična enačba: n n n+ 0 0 0 0 0 0 n+= n. n + n. n = n. (n + n) = n n+= n izhod v trenutku n + je enak vhodnemu signalu, ki je bil v trenutku n na vhodu Stanje na izhodu v trenutku n + je enako stanju na vhodu v trenutku n. To pomeni, da sta stanji vhoda in izhoda časovno zamaknjeni (delay) za en taktni interval. Stikalni preklop povzroči signal na krmilnem vhodu. Kakor dolgo traja na vhodu signal, se lahko stanje flip-flopa spremeni ustrezno vrednosti na vhodu. Pravilnostna tabela Vzbujevalna tabela: n = f (n, n+) n n+ n n+ n 0 0 0 0 0 0 0 0 oločanje stanja na osnovi danega vhoda oločanje vhoda na osnovi danega stanja igitalni sistemi

c) sinhronizirani J K preklopni člen J J J K K K Karakteristična tabela pomnilne celice J-K in karakteristična enačba: n Jn Kn n+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 n+= n. Kn + n. Jn V primeru logičnih enic na krmilnih vhodih J in K se ob signalu na izhodno stanje člena prevrže v njegovo negirano stanje. Izhodno stanje se ne spremeni, če sta oba vhoda v stanju 0. Značilnost JK člena je v tem, da sta lahko vhoda hkrati v enakih stanjih, pa bo izhodno stanje vedno definirano. S impulzom omogočimo spremembo izhodnega stanja flip-flopa, glede na stanje, ki je trenutno na vhodih J (SET) in K (ESET). Postavljanje in brisanje flip-flopa je možno le, če imamo na krmilnem vhodu signal. Pravilnostna tabela Vzbujevalna tabela: Jn, Kn = f (n, n+) ; X redundantno stanje: 0, J K n+ n n+ Jn Kn 0 0 n 0 0 0 X 0 0 0 X 0 0 X n X 0 oločanje stanja na osnovi danih vhodov oločanje vhodov J in K na osnovi danega sta J K J K igitalni sistemi 2

d) T pomnilna celica ( trigger flip flop) Z združitvijo vhodov J in K na en vhod dobimo T pomnilno celico, katere osnovna značilnost je izmenični preklop izhoda ob negativni fronti in postavljenem vhodnem krmilnem signalu T. Pravilnostno tabelo lahko izpeljemo posredno kar iz tabele JK ff. T x T Karakteristična tabela pomnilne celice T in karakteristična enačba: n Tn n+ 0 0 0 0 0 0 n+= n. Tn + n. Tn ali direktno: Jn Kn n+ T n+ 0 0 n 0 n 0 0 0 n n Pravilnostna tabela Proženje T ff Vzbujevalna tabela: T n+ Tn x n n+ Tn 0 0 n 0 0 x = 0 / T = ; = 0 0 0 0 0 n 0 0 0 0 x = / T =; = 0 0 0 0 0 0 Prehod krmilnega signala na vhodu iz na 0 ( 0 zadnja stranica impulza) povzroči spremembo prvotnega stanja na izhodu in ' ob pogoju, da je na vhodu T signal postavljen na. Če je na vhodu T signal enak 0, impulz ne povzroči spremembe stanja. T flip-flop ob vsaki negativni fronti povzroči preklop (T = ), kar imenujemo dinamično krmiljenje. Primer: T igitalni sistemi 3

4. 2 Krmiljenje pomnilnih celic pomeni način vpisa informacije v celice in način hranjenja te informacije. Možnih je več načinov krmiljenja flip-flopov: - pomnilne celice z zapahovanjem; imenujemo jih zapahi ali LATCH-i, uporabljeni so ff z asinhronskim vhodnim signalom ENABLE; značilna pravilnostna tabela in simbol: EN n+ 0 0 n 0 0 0 n EN Uporabljamo jih za eno ali več bitne zadrževalnike binarnih vrednosti za zadrževanje kratkotrajne informacije. - pomnilne celice s krmiljenim izhodom; (3 state buffer), za to izvedbo uporabimo S ff, uporabljamo jih za shranjevanje eno bitnih podatkov in niso primerni za števce in pomikalne registre; EN IN OUT 0 0 visoka Z 0 visoka Z 0 0 IN EN OUT - dinamično krmiljenje pomnilnih celic je krmiljenje z levim ali desnim bokom impulza (pozitivno ali negativno fronto), ki jo izvedemo z C diferencirnim vezjem na vhodu; ta način proženja uporabljamo samo v diskretnih bistabilih, v IC izvedbah pa ne; C nf, = 0 k, časovna konstanta C člena je približno: T C 0 x dvižnega časa (strmine) signala rise time; Primer vezave dinamičnega proženja s pozitivno in negativno fronto: S C S C - pomnilne celice z asinhronim vpisom; opremljene so z dodatnimi vhodi SET in CLEA, preko katerih vpisujemo v celico nove podatke; - krmiljenje pomnilnih celic z nizom impulzov; uporabimo ga pri kaskadnih zaporednih povezavah pri pomikalnih registrih, števcih in delilnikih ter blok povezavah dveh flip-flopov, kjer z enim bokom krmilimo prvi, z drugim bokom pa drugi flip-flop (Master Slave). igitalni sistemi 4

Primeri uporabe sekvenčnih krmilij - WinFact 4.2. Osnovne pomnilne celice simulacijski program WinFact igitalni sistemi 5

4.2.2 aljinski vklop 4.2.3 Časovniki zakasnitev vklopa, izklopa igitalni sistemi 6

4. 3 egistri egister je sestavljen iz več eno bitnih pomnilnih celic tipa, S ali JK. Vsebina registra je informacija, ki je zapisana v registru. egistre uporabljamo za: - shranjevanje podatkov; to funkcijo opravljajo pomnilniški blok registri, - pomikanje vsebine registra; funkcijo opravljajo pomikalni (shift) registri, - krožno pomikanje informacije, funkcijo opravljajo obročni pomikalni (pomični) registri. egister pomnilniški blok A A Osnovna celica je ff oziroma latch. Vhod in izhod podatkov sta izvedena paralelno. Uporaba: - shranjevanje podatkov preko paralelnih vmesnikov, - poljubno (servisno) shranjevanje podatkov, - sinhronizacija pri paralelnem prenosu podatkov, - na shemi je prikazan 4- bitni latch register z vpisovalnim krmilnim signalom STOE. VHO POATKOV B C E E E B C IZHO POATKOV E Pomikalni registri - Shift egisters STOE so verižna vezja, v katerih skupni taktni signal pomika v posameznih pomnilnih celicah vsebovano informacijo v celotnem vezju v smeri proti levi ali desni. olžina SHIFT registra je odvisna od števila pomnilnih celic, ob vsakem impulzu se posamezni biti v registru pomaknejo za eno mesto v desno (shift right), prva pomnilna celica pa sprejme s serijskega vhoda nov bit. Osnovno vezje 4-bitnega pomikalnega registra tipa : PAALELNI IZHOI SEIJSKI VHO X A B C princip obročnega pomikalnega registra Simbol: A B C CLOCK 2 3 4 SEIJSKI IZHO CLEA igitalni sistemi 7

Izvedba 4-bitnega pomikalnega registra z FF v simulacijskem programu WinFACT Časovni diagram delovanja krožnega pomikalnega registra v desno igitalni sistemi 8

Izvedba 4- bitnega pomikalnega registra tipa JK: PAALELNI IZHOI SEIJSKI VHO X A B C CLOCK J K J 2 K J 3 K J 4 K SEIJSKI IZHO Sekvenčni potek pomika bitov v desno: X CLEA 0 A B 2 C 3 Tipi pomikalnih registrov IC izvedbi: 749 8-bitni, serijski, smer desno 7495 4-bitni, paralelno-serijski, smer levo/desno 7464 8-bitni, paralelno-serijski, smer desno 7494 4-bitni, paralelno-serijski, smer desno/levo 403 64-bitni, serijski, rotiranje 4035 4-bitni, paralelno-serijski, smer - desno VPISOVANJE: 0 SEIJSKO ČITANJE : 0 Tipi registrov po namenu: - SISO: serijski vhod / serijski izhod; uporaba pri sinhronizaciji serijskega prenosa podatkov, - SIPO: serijski vhod / paralelni izhod; uporaba serijsko/paralelni pretvornik, - PISO: paralelni vhod / serijski izhod; uporaba za paralelno/serijski pretvornik, uporaba asinhronih vhodov PESET in CLEA omogoča asinhroni vpis informacij in sinhronski pomik podatkov, - PIPO: paralelni vhod / paralelni izhod; uporaba pri sinhronizaciji paralelnega prenosa podatkov. Kriteriji za izbor registrov so predvsem: dolžina registra, tip vhoda in izhoda /vpisa in izpisa, možnost spreminjanja pomika vpisa levo desno, možnost brisanja vsebine registrov. 7494 4 bitni dvosmerni univerzalni pomikalni register Pravilnostna tabela Priključki TIP SEIJSKO PAALEL IZHOI CLEA ' S S 0 CLK LEVO ESNO A B C A B C 0 X X X X X X X X X 0 0 0 0 X X 0 X X X X X X A0 B0 C0 0 X X a b c d a b c d 0 X X X X X An Bn Cn 0 X 0 X X X X 0 An Bn Cn 0 X X X X X Bn Cn n 0 0 X X X X X Bn Cn n 0 0 0 X X X X X X X A0 B0 C0 0 igitalni sistemi 9

Primer uporabe pomikalnega registra pri prenosu krmilnih signalov blokovna shema OAJNA STAN SPEJEMNA STAN PISO POMIKALNI EGISTE GENEATO TAKT PULZA stop bita 0 KOINIK UKAZOV start bita X 0 tokovna zanka 20 ma SIPO POMIKALNI EGISTE 0 X 0 CLOCK galvanska ločitev galvanska ločitev stop bita start bita Značilnosti prenosa podatkov: - generiranje poljubnega števila krmilnih bitov X - oddajnik: pretvorba paralelnega v serijski podatek - sprejemnik: pretvorba serijskega v paralelni podatek - sinhroni prenos - po eni podatkovni liniji prenašamo poljubno število podatkov - podatkovno razdaljo povečamo z uporabo tokovne zanke in optične galvanske ločitve dekodirnik izvršilna stopnja LATCH 4 6 4. 4 Binarni števci in delilniki Števec je sekvenčni sistem, ki ga lahko uporabimo za: - štetje ali odštevanje impulzov ter za pomnjenje števila dogodkov v določenem časovnem inrtervalu; govorimo o števcu counter-ju, - generiranje enega impulza na izhodu po sprejetih N impulzih na svojem vhodu; govorimo o delilniku; delilnik deli frekvenco vhodnega signala z N in pri N vhodnih impulzih generira na izhodu en impulz. Splošna blokovna shema števca 0 C B A ŠTEVEC 0 2 3 4 0 2 3 4 ELILNIK VHO ŠTEVEC ESET IZHO CLEA igitalni sistemi 20

Modul števca 'm' ali SV je število možnih različnih binarnih stanj, ki jih lahko števec zavzame. Standardne izvedbe: - dekadni števec z modulom m = 0; uporaba za merilnike frekvence, časovnike in impulzne števce - šestnajstiški števec z modulom m = 6; 4-bitni delilniki - števci s poljubnim modulom m = X, pri čemer so poznane izvedbe števcev z JK ff in m = 3 do 32 Števec JK z modulom m = 3 B A 0 0 0 0 2 0 0 B A m = 3 Na osnovi analize delovanja števca in s pomočjo pravilnostne in vzbujevalne tabele JK flip-flopa definiramo logične enačbe oziroma tabelo stanja za vsako pomnilno celico posebej: ff A: JA = B; KA = B ff B: JB = A; KB = B Vezalna shema števca z modulom m = 3: J K A J 2 K B Značilnosti vezalne sheme števca: - vezava obeh ff je sinhronska glede na signal - število ff 'n' ustreza modulu: m 2 n - vhod ff je vezan praviloma direktno ali pa posredno na izhod predhodnega ff, njegov izhod pa praviloma na vhod naslednjega flip-flopa Logične funkcije vhodov števca za: m = 5 m = 0 m = 6 A: JA = C; KA = C A: JA = A; KA = A A: JA = A; KA = A B: JB = A; KB = A B: JB = A.; KB = A. B: JB = A; KB = A C: JC = A.B; KC = C C: JA = A.B; KA = A.B C: JA = A.B; KA = A.B : J = A.B.C; KA = A : J = A.B.C; KA = A.B.C Asinhroni števci se uporabljajo kot 2 n delilniki. a dobimo 2 n delilnik, moramo izhod predhodnega ff vezati na naslednjega ff. Slaba stran teh števcev je prisotnost motilnih signalov kot posledica asinhronih preklopov in časovnih zakasnitev (glitch) J A K J B K OUT A B OUT napaka prehoda signala igitalni sistemi 2

Sinhroni števci Na krmilne vhode flip-flopov pripeljemo vzporedno taktne impulze. Zaradi tega se vsi izhodi preklapljajo sočasno. So hitrejši, ker se časovni zamik pojavlja v enkratni vrednosti. Hitrost delovanja je odvisna od uporabljene tehnologije in veljajo naslednji orientacijski zakasnilni časi: TTL 0ns, CMOS 2 s. J A K J B K OUT A A B OUT Značilni parametri števcev: - nastavljivost je možnost nastavitve izhodov števca na 0 ali na določeno binarno stanje v okviru modula m (LOA) - kodiranje dekodiranje; možnost štetja v binarni kodi, BC kodi, - krmiljenje; odvisno je od tipa števca in sicer s pozitivno ali negativno fronto; možna uporaba asinhronih krmilnih signalov (ENABLE: E = 0 štetje onemogočeno, E = štetje omogočeno), - smer štetja; poznamo prištevalne, odštevalne in števce GO / OL (UP / OWN) - povezljivost v kaskado; z zaporedno povezavo delilnikov, ki omogočajo deljenje vhodne frekvence z n, n 2, n 3, dobimo delilnik s skupnim faktorjem n = n. n 2. n 3; primer kaskadne povezave dveh destiških števcev BC - 7460 (m = 0), od katerih vsak deli z n= 0, kjer dobimo skupni faktor deljenja n = 00: 3 2 0 LOW EN 3 2 0 HIGH EN Tipi števcev v IC izvedbi: 7470 m=0, gor, asinhronski, f max. = 32 MHz, 7493 m=6, gor, asinhronski, f max. = 32 MHz, 7460 m=0, gor, sinhronski, f max. = 32 MHz, 7490 m=0, gor/dol, sinhronski, f max. = 30 MHz, 406 m=0, dol, sinhronski, f max. = 8 MHz, 408 m=6, dol, sinhronski, f max. = 8 MHz, 7490 univerzalni BC / dekadni nastavljivi števec CTEN ' /U' CLK LOA' A B C A B C MAX/MIN CO' 0 X X 0 x x x x A B C 2 0 x x x x štetje dol 2 0 0 x x x x štetje gor 2 X X X x x x x A0 B0 C0 0 2 - : MAX/MIN = / ŠTEVEC = 9 PI ŠTETJU GO IN MAX/MIN = / ŠTEVEC = 0 PI ŠTETJU OL - 2: CO' = 0 / ŠTEVEC = 9 PI ŠTETJU GO IN CO' = 0 / ŠTEVEC = 0 PI ŠTETJU OL igitalni sistemi 22

Primer delilnika 2-4-8 v izvedbi z ff in pripadajoči izhodni signali (izvedba vezja v EWB): A B C igitalni sistemi 23

4.5 Sekvenčno - koračna krmilja Splošno oblika zapisa algoritma koračnega krmilja in primer za semafor Primer izdelave koračnega krmilja Algoritem delovanja tekst algoritem - cikel je sestavljen iz 3 zaporednih korakov: K, K2, K3, ki se avtomatsko ponavljajo - vklop cikla krmilja preko stikala S0, ko S0 izklopimo, se cikel prekine - čas trajanja posameznega koraka je nastavljiv, tov. nastavitve: K = 5s, K2 = 0 s, K3 = 0 s - frekvenca K2 in K3 je nastavljiva, tov. nastavitve: K2=Hz, K3=2 Hz 2 Časovni diagram delovanja koračnega krmilja igitalni sistemi 24

3 Simulacija Winfact 3. Osnovna koračna veriga 3.2 Končna krmilna shema igitalni sistemi 25